Verissimo SystemVerilog Testbench Linter User Guide
Rev. 24.1.5, 13 March 2024

4.3.8 vcs.vhdlan Compatibility Mode

The +dvt_init+vcs.vhdlan directive resets the builder to the vcs.vhdlan default state.

File Extension to Language Syntax Mapping

Syntax Extensions
C/C++.c, .h, .cpp, .cc, .cxx
Shared objects (C/C++ libraries).so, .a, .o

Language Syntax for Unmapped Extensions: VHDL 1076-1993

Mode Specific Directives

Directive Description
-upf <upf_file>Specify a Unified Power Format file to be analyzed.
-vhdl87Sets the syntax for unmapped extensions to VHDL 1076-1987
-w <lib>


-work <lib>
Compile intro library <lib>