DVT PSS IDE User Guide
Rev. 24.1.5, 13 March 2024

4.7.6 questa.vlog Compatibility Mode

The +dvt_init+questa.vlog directive resets the builder to the questa.vlog default state.

File Extension to Language Syntax Mapping

Syntax Extensions
System Verilog 1800-2012.sv, .svp, .svh
C/C++.c, .cpp, .cc, .cxx

Language Syntax for Unmapped Extensions: Verilog 1995

Language Syntax for Included Files: Included files are parsed using the syntax that was used for parsing the including file.

Mode Specific Directives

Directive Description
-ovmDVT tries to load the OVM library, in order of precedence from: $OVM_HOME, $DVT_OVM_HOME
-pa_upf <upf_file>Specify a Unified Power Format file to be analyzed.
-svParse files with unmapped extensions as SystemVerilog
-sv05compatUse SystemVerilog 2005 syntax flavor
-sv09compatUse SystemVerilog 2009 syntax flavor
-sv12compatUse SystemVerilog 2012 syntax flavor
-svfilesuffix=<ext1> ,<ext2>... All files with <ext1>, <ext2>, ... extensions are parsed as SystemVerilog
-uvmDVT compiles the UVM library, in order of precedence, from: $UVM_HOME, $MTI_HOME/verilog_src/uvm-1.1d, $DVT_UVM_HOME, $DVT_HOME/predefined_projects/libs/uvm-1.2


If $MTI_HOME is not defined, it is inferred from the location of the vlog executable


If -L $MTI_HOME/<uvm_lib> is specified anywhere within the current invocation, then UVM is compiled from $MTI_HOME/verilog_src/<uvm_lib>


Whenever compiling UVM from $MTI_HOME, the $MTI_HOME/verilog_src/questa_uvm_pkg-1.2/ is also compiled
-vlog01compatUSe Verilog 2001 syntax flavor
-vlog95compatUse Verilog 1995 syntax flavor

Predefined API

QUESTADefined as preprocessing macro without value.
MODEL_TECHDefined as preprocessing macro without value.