DVT PSS IDE User Guide
Rev. 24.1.5, 13 March 2024

Chapter 30. What is New?

#.# - major version - Includes new features, major enhancements, architectural changes, bug fixes. 
Since 2015, a major version is named in sync with the release year, for example the first major version of 2015 is 15.1.
NOTE: When switching to a new major version it is recommended to start in a new workspace.

#.#.# - minor version - Includes bug fixes, minor enhancements.

24.1.5 (13 March 2024)

Bugfixes

  • DVT-20101 Chromium Browser: In specific scenarios, when exiting Eclipse, the UI shuts down but the underlying process keeps running

  • DVT-20201 Code Formatting: Do not indent the "@formatter:on" pragma

  • DVT-20230 DVT CLI: Lazy Bring up Resources doesn't work for projects with CPP nature

24.1.4 (28 February 2024)

CLEANUP

  • DVT-20073 License: Replaced the license events database with a capped human readable log

Bugfixes

  • DVT-19905 Compile Waivers: Specifying compile waivers files from outside the project using relative paths breaks build configuration parsing

  • DVT-19952 Compile Waivers: No hyperlink for compile waivers files specified in the build configuration using relative paths

  • DVT-20025 Sometimes hovering build configuration directives results in empty tooltip

  • DVT-20106 Compile Waivers: Specifying compile waivers files using undefined environment variables breaks build configuration parsing

24.1.3 (13 February 2024)

Performance

  • DVT-20067 Improved UI responsiveness in sessions running for a long time

Enhancements

  • DVT-14487 License: Show the pop-up notification until it's dismissed by the user or until a license is acquired

  • DVT-19032 License: Show client information (pid, user, host, hostid) in the log header

  • DVT-19753 Automatically generate thread dumps when incremental build takes longer than expected

  • DVT-19817 Report an Issue: Ability to select/deselect all attachments

Bugfixes

  • DVT-18729 Build config: Environment variables are not replaced for arguments of +dvt_db_location

  • DVT-20014 Compile waivers: When located directly under the compilation root, waivers are not applied in batch mode

  • DVT-20033 dvt_plugin_installer.sh hangs when stdin is not a tty

  • DVT-20054 License: In specific scenarios, connectivity issues are not detected immediatly

  • DVT-20055 License: In specific scenarios, license server response delays can cause UI slowness

24.1.1 (18 January 2024)

Starting with the 24.1.1 major release our products are no longer compatible with old FlexLM license servers (<11.19).

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 23.#.# releases for early adopters.

REMOVED

  • DVT-19867 License: Removed support for FlexLM tools and dvtlmd daemon versions <11.19

Enhancements

  • DVT-14587 Ability to disable automatic compilation of non-top-files

  • DVT-19684 Disable incremental compilation timeouts when non-blocking incremental build is enabled

  • DVT-19753 Automatically generate thread dumps when incremental build takes longer than expected and non-blocking incremental build is enabled

  • DVT-19909 Non-blocking incremental build is enabled by default

Bugfixes

  • DVT-19825 Custom Dialog: Prevent infinite recursion when project contains circular symlinks

  • DVT-19856 In specific scenarios +dvt_ext_map does not work

  • DVT-19863 Sometimes refresh is not done properly following a run configuration launch

23.2.30 (21 December 2023)

Bugfixes

  • DVT-19853 DVT CLI: Creating a project in an extremely large file system may trigger a second full build in specific scenarios

23.2.29 (13 December 2023)

Bugfixes

  • DVT-19123 Outline View: No update when opening a file from the Search View

  • DVT-19774 Compile Order View: Preserve build configuration order for argument files and +dvt_init invocations

  • DVT-19799 Chromium Browser: Sometimes a deadlock is preventing the JVM shutdown

23.2.28 (28 November 2023)

Bugfixes

  • DVT-19749 Compile Waivers: "Create waiver" wrongly computes waiver file candidates when the project is not selected

23.2.27 (17 November 2023)

Bugfixes

  • DVT-19740 Compile Waivers: Can't create new waiver from UI when DVT_USER_SETTINGS and DVT_COMMON_SETTINGS are not set

23.2.26 (14 November 2023)

Features

  • DVT-4730 New Compile Order view mode presenting the argument file inclusion tree and +dvt_init invocations

Enhancements

  • DVT-8420 Compile Waivers: Ability to select the waiver file when creating a new waiver from a problem

  • DVT-18305 & DVT-11641 Ability to specify compilation waiver files in the build configuration

Bugfixes

  • DVT-19517 Editor Notification: Changing the active build configuration file wrongly triggers the database out-of-sync notification

  • DVT-19628 & DVT-19636 Chromium browser: In some configurations content is displayed in a new window instead of the application window

  • DVT-19639 Editor Notification: Changing the Verification Hierarchy Top wrongly triggers the database out-of-sync notification

  • DVT-19706 Chromium browser: Cannot open local files using the Eclipse Internal Browser View

23.2.25 (1 November 2023)

Performance

  • DVT-19219 Automatically disable word wrap in editors and Console View when content gets too large

Bugfixes

  • DVT-19283 DVT CLI: Improve performance for Lazy Bring up Resources with -include auto

  • DVT-19506 Filesystem Breadcrumb sometimes causes a StackOverflowError

23.2.24 (17 October 2023)

Bugfixes

  • DVT-19578 Editor Notification: Inaccurate message when too many files changed inhibit the incremental build

  • DVT-19582 Prevent memory leaks when closing diagrams

  • DVT-19587 Diagram timeout message might not be shown in certain conditions

  • DVT-19594 Editor Notification: Wrongly triggered when the project restore operation finishes successfully

23.2.23 (9 October 2023)

HIGHLIGHTS

  • Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19

  • New bundled Chromium browser engine used by default on all operating systems

CLEANUP

  • DVT-19560 License: Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19

Features

  • DVT-19507 New bundled Chromium browser engine used by default on all operating systems

23.1.22 (22 September 2023)

Bugfixes

  • DVT-19426 Inconsistent behavior when resolving relative paths with parent directory segments crossing a directory symlink during build config parsing and file parsing

23.1.20 (6 September 2023)

Features

  • DVT-12661 Code Formatting: Add preference to compact consecutive empty lines

Enhancements

  • DVT-18039 Editor Notification: Warn a full build need when more than 50 files got changed from the last compilation

Bugfixes

  • DVT-18131 Do not print carriage return after reporting problems in the Console View on Linux distros

  • DVT-19290 Cancelling a restore operation wrongly updates the Build Console

23.1.19 (25 August 2023)

Features

  • DVT-15678 Ability to show including file for filelists

Enhancements

  • DVT-18494 & DVT-18495 Editor Notification: Database out of sync notification should be present in diagram editors

  • DVT-19173 Memory Monitor: "Display Memory Warning" preference should only affect the warning pop-up

  • DVT-19174 Memory Monitor: Close the warning pop-up when reaching an error state

Bugfixes

  • DVT-17765 Code Formatting: Tab size preference change is not taken into account until platform restart

  • DVT-19197 Inspect View is not updating when selecting a view nodes using the keyboard arrows

  • DVT-19271 dvt_os_information.sh does not work on Debian Linux due to non-portable uname command

23.1.18 (2 August 2023)

Enhancements

  • DVT-16506 Specify preferences.ini and settings.json keys in Code Formatting documentation

Bugfixes

  • DVT-17115 Editor Notification: Report active build configuration changes from files included with -f

  • DVT-19131 Build config: Multiple +dvt_skip_compile+not directives result in no files compiled

23.1.16 (18 July 2023)

Bugfixes

  • DVT-18416 The DVT-Settings project is continuously re-created when DVT_COMMON_SETTINGS points to a Windows UNC path

  • DVT-18797 Report an Issue: Cannot generate a report after cancelling a previous generation

23.1.14 (22 June 2023)

Features

  • DVT-18884 Ability to cancel ongoing incremental builds when a new one is triggered

Bugfixes

  • DVT-18197 Outline View: Category and alphabetic sorting interfere with quick search results

23.1.13 (7 June 2023)

Enhancements

  • DVT-19040 Add support for non-standard covergroup instantiation syntax

Bugfixes

  • DVT-18980 Console View: Clicking on a hyperlink doesn't work while the console is still updating

  • DVT-19041 False UNDECLARED TYPE/ID semantic errors reported on pss_top/sml_pkg in the SML

23.1.12 (23 May 2023)

Enhancements

  • DVT-18983 Detect matches preceded/succeeded by "\n" string when performing text searches with whole word activated

23.1.11 (9 May 2023)

Features

  • DVT-18965 DVT CLI: Ability to create projects which allow bringing up file resources on demand

Enhancements

  • DVT-18433 DVT CLI: Ability to always include first level directories when filesystem check fails

  • DVT-18666 Build Console should report when a full build was canceled

  • DVT-18667 Editor Notification: Report database out of sync when a full build was cancelled

Bugfixes

  • DVT-18825 Editor Notification: Updating database for a project makes the notification disappear from all other opened projects

  • DVT-18924 DVT CLI: -include auto should resolve symbolic links to the project

  • DVT-18925 DVT CLI: Creating a project with filters and custom name will rise an error

  • DVT-18960 DVT CLI: Creating a project from the full path of a symbolic link doesn't resolve the link

23.1.9 (12 April 2023)

Enhancements

  • DVT-18767 Memory Monitor: Avoid false warnings in small projects

Bugfixes

  • DVT-18764 Sometimes Smart Logs don't get applied properly

23.1.8 (29 March 2023)

Performance

  • DVT-18581 Improve hyperlink performance in very large projects

Bugfixes

  • DVT-18743 Compile Order View: Inconsistent compile index between Compile Order and Console when having skipped files

23.1.7 (15 March 2023)

Enhancements

  • DVT-18760 Add support for non-standard offset, reset_mask, reset_val fields of reg_c

  • DVT-18786 Support for randomization of lists

  • DVT-18787 Support for procedural randomization of data

  • DVT-18788 Support for string formatting and output

Bugfixes

  • DVT-18726 Memory Monitor: Sometimes the project name is wrongly displayed

23.1.6 (1 March 2023)

Enhancements

  • DVT-18724 The dvt_os_infomation.sh script should check that the coreutils timeout binary is available

Bugfixes

  • DVT-18276 On rare occasions, when using verbatim tooltips, the computing content message is not cleared

  • DVT-18673 The project rebuild should not be launched when choosing "Cancel" option from the unsaved files wizard

  • DVT-18688 Report an Issue: Update e-mail validator to allow lengthy top level domains

  • DVT-18691 Avoid using timeout -k in dvt_os_information.sh because of compatibility issues with older Linux distros

23.1.5 (21 February 2023)

Enhancements

  • DVT-18271 Inspect View: Add a computing message when generating diagrams

Bugfixes

  • DVT-18299 Compile Order View: View label is not updated when clearing the search box

  • DVT-18637 WAVEDROM_FILE pragma does not work with full paths

  • DVT-18643 Natural Docs: StackOverflowException thrown when using bullet lists

23.1.4 (8 February 2023)

DEPRECATED

  • DVT-18604 License: Support for FlexLM tools and dvtlmd daemon <11.19 is deprecated and will be removed in future versions

Features

  • DVT-18605 License: New client implementation based on FlexLM 11.19 (disabled by default)

Enhancements

  • DVT-18585 Wrong formatting for definition lists with long strings for Natural Docs comment syntax

Bugfixes

  • DVT-18240 License: In some cases the license client leaks a large number of CLOSE_WAIT sockets due to a FlexLM bug

  • DVT-18304 DVT CLI: Apply user-specified resource filters before performing the filesystem check

  • DVT-18603 License: In some cases when multiple license sources are set and no license is available, the license client is repeatedly queuing and dequeuing for a license

23.1.3 (31 January 2023)

Bugfixes

  • DVT-18573 Improve definition lists formatting for Natural Docs comment syntax

23.1.2 (25 January 2023)

Enhancements

  • DVT-18488 Report an Issue: Ability to 'Send' or 'Save as Zip' without filling the issue description

  • DVT-18560 Add disable_browser option for dvt.sh and dvt_cli.sh

Bugfixes

  • DVT-18089 Report an Issue: 'Save as Zip' is disabled when the attached files size exceeds 25MB

  • DVT-18489 Report an Issue: 'Add...' button disappears when resizing the dialog

  • DVT-18558 Add timeout for SWT automatic configuration in dvt.sh and dvt_cli.sh

23.1.1 (19 January 2023)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 22.1.# hotfix releases for early adopters.

  • New memory starvation handling mechanism

  • Improved profiling capabilities

  • New distros based on Eclipse 4.24 with support for aarch64

Enhancements

  • DVT-17273 Ability to cancel DVT Resource Filters application

Bugfixes

  • DVT-17767 Sometimes user preferences are not applied in corrupted workspaces

  • DVT-17869 Types View: Wrong message is displayed when searching for non-existent members

  • DVT-18529 Ability to cancel the "Resource out of sync" dialog during search operation

22.1.38 (14 December 2022)

Bugfixes

  • DVT-18064 & DVT-18015 Filesystem breadcrumb not updated when opening a search result

22.1.37 (6 December 2022)

Bugfixes

  • DVT-18125 Sometimes pasting from clipboard freezes the application while waiting for the source application to send clipboard contents

22.1.35 (17 November 2022)

Features

  • DVT-11769 Memory Monitor: Memory starvation handling mechanism

Bugfixes

  • DVT-18132 NullPointerException is thrown when undefined environment variables are used in +dvt_skip_compile

22.1.34 (8 November 2022)

Bugfixes

  • DVT-18293 False UNDECLARED_IDENTIFIER semantic issue on function parameter enum type default value

Enhancements

  • DVT-18294 Support for file IO handling functions (core library io_pkg)

22.1.32 (1 November 2022)

Bugfixes

  • DVT-18265 False error on foreach applied to bit-vector (foreach iterating on non-fixed size array expression)

  • DVT-18266 False constraint contradiction reported when random variable is used as bit select on a bit-vector variable

22.1.31 (20 October 2022)

Bugfixes

  • DVT-18211 DVT CLI: Ignored non-CPP project nature in CPP mixed language projects when the CDT plugin is unavailable

22.1.30 (11 October 2022)

REMOVED

  • DVT-18181 Removed Eclipse 4.19 distros

Bugfixes

  • DVT-18055 Custom Dialog widget enablers not working in Java 11 based distributions

  • DVT-18165 Custom Pragmas: Usages are searched for even when no pragma is defined

22.1.28 (28 September 2022)

Bugfixes

  • DVT-17971 External Builders: Sometimes Smart Logs don't get applied on Eclipse 4.24

  • DVT-18050 +dvt_editor_association_override directive doesn't work on Eclipse 4.22 and 4.24

  • DVT-18109 The +dvt_set_directive_nof_args only works within the first +dvt_init section

  • DVT-18127 Thread Dump Collector: Fix broken zips caused by SIGINT signals

Enhancements

  • DVT-17409 Build config: -ccwarn directive should take one argument

  • DVT-17593 Build config: -denalipath directive should take one argument

  • DVT-17776 Build config: -dms_wreal_init directive should take one argument

  • DVT-17937 Build config: -max_always_prune should take one argument

22.1.27 (13 September 2022)

Enhancements

  • DVT-17348 Sometimes numerous "widget not properly disposed" exceptions are logged

  • DVT-17893 Build config: Add predefined environment variable _XRUNROOT_ in xcelium.xrun compatibility mode

  • DVT-17938 Ability to customize the number of arguments for build config directives using +dvt_set_directive_nof_args

  • DVT-18042 Breadcrumb background is black on Windows in default DVT theme

22.1.26 (7 September 2022)

Bugfixes

  • DVT-17922 Settings management doesn't correctly merge keybindings

22.1.25 (30 August 2022)

Bugfixes

  • DVT-17967 Types View: Wrong members for C/C++ language

Enhancements

  • DVT-17860 Automatically compress generated thread dumps

22.1.24 (22 August 2022)

Features

  • DVT-17728 New distros based on Eclipse 4.24 and Java GraalVM 17

Bugfixes

  • DVT-17936 NoSuchMethodError is thrown in Eclipse 4.24

22.1.23 (16 August 2022)

Bugfixes

  • DVT-17316 Downgrade pre-installed IndentGuite plugin in Eclipse 4.11 distros

  • DVT-17648 & DVT-17946 Outline view: Enter does not jump to element

  • DVT-17848 DVT Generic Run Configurations do not obey global preference to ask before launch when project has errors

Enhancements

  • DVT-16448 Update Git plugin to the latest version and add LFS support plugin in Eclipse 4.19 and 4.22 distros

  • DVT-17022 Update Python plugin in Eclipse 4.19 and 4.22 distros

  • DVT-17678 Add folding range for #region/#endregion block

  • DVT-17924 Rename Switch Between Editor Parts command to Switch Between Active Editors and move it under Navigate category

  • DVT-17928 Switch to the latest build of Java 11 in Eclipse 4.19 and 4.22 distros

  • DVT-17929 Update Bash and YAML plugins in Eclipse 4.19 and 4.22 distros

22.1.22 (2 August 2022)

Performance

  • DVT-17836 Improve search operation performance in the Compile Order View

Enhancements

  • DVT-12709 DVT CLI: Automatically add -include auto when the project directory contains a large number of resources

  • DVT-17428 Natural Docs definition list formatting in tooltips is not rendered correctly

22.1.20 (11 July 2022)

Performance

  • DVT-17771 Slow search operation in Compile Order view for projects with CDT nature

Bugfixes

  • DVT-17766 Editor Notification sometimes leaves visual artefacts

22.1.19 (28 June 2022)

Enhancements

  • DVT-17692 Support for non-standard C-style preprocessing macros

Bugfixes

  • DVT-17668 External builder cannot be stopped from progress monitor

  • DVT-17711 Thread Dump Collector: +dvt_profile+ period should default to 500ms

22.1.17 (8 June 2022)

Enhancements

  • DVT-17601 Update CSV file syntax

Bugfixes

  • DVT-17635 Settings Management does not work properly under Windows

  • DVT-17665 Changes in DVT_COMMON_SETTINGS environment variable are not always reflected in the DVT-Settings project

22.1.16 (31 May 2022)

Performance

  • DVT-17381 Saving source files becomes slow when an external builder is defined

Bugfixes

  • DVT-17581 DVT CLI deadlock during Perforce association

  • DVT-17596 Opening external builders project property page should not modify the project description

22.1.14 (17 May 2022)

Bugfixes

  • DVT-17572 Foreach constraint over an item of an array of arrays is not applied

22.1.13 (9 May 2022)

Enhancements

  • DVT-17486 Build log/output should be consistent across tools

Bugfixes

  • DVT-17535 The "-sourcemap" argument does not work in dvt_sv_lint_gui.sh

22.1.12 (3 May 2022)

Bugfixes

  • DVT-17508 "SWT Resource not properly disposed" exception is thrown when triggering Diagram Magnifier

22.1.11 (18 April 2022)

Bugfixes

  • DVT-17363 Verification Hierarchy View: Wrong components after incremental build

22.1.10 (12 April 2022)

Enhancements

  • DVT-13591 Including other waiver files should take into account the position of the <include> tag

Bugfixes

  • DVT-17479 Replace globbing in scripts to workaround a shell bug preventing globbing in nested invocations

22.1.9 (30 March 2022)

Enhancements

  • DVT-17400 Lower the default tooltip size when the content cannot be measured efficiently

  • DVT-17402 Disable HTML tooltips for incompatible webkitgtk versions

22.1.8 (15 March 2022)

Performance

  • DVT-14716 Limit the number of task tags to improve performance

  • DVT-17135 Resource monitor should adapt scan speed to limit computational overhead

Features

  • DVT-17389 License: Add support for inline string license sources

  • DVT-17390 License: Add support for platform independent license source separator &

  • DVT-17391 License: Add support for using a local license by multiple applications at the same time under the same user

Enhancements

  • DVT-17355 Editor Notification: Improve project visibility look & feel when proposing resource filters

  • DVT-17392 License: Send to the license server the client PID instead of the unused and dummy display value

Bugfixes

  • DVT-17247 WaveDrom diagrams should be exported using UTF-8 encoding

  • DVT-17393 License: Fixed custom port support in local license sources

22.1.7 (2 March 2022)

Performance

  • DVT-17124 Improved memory usage for code folding

Bugfixes

  • DVT-17132 Sometimes collapsed code gets partially expanded without any user action

  • DVT-17341 Re-enable older TLS versions in JRE in order to support all third party plugins

22.1.6 (23 February 2022)

REMOVED

  • DVT-17311 Removed distros based on Eclipse 4.21

Features

  • DVT-16865 & DVT-17261 Add new distro for Linux aarch64 based on Eclipse 4.22 (e422-linux_64_arm)

  • DVT-17309 Add new distro for MacOS aarch64 based on Eclipse 4.22 (e422-macos_64_arm)

  • DVT-17310 Add new distros based on Eclipse 4.22 with Java 17

  • DVT-17312 Ability to relocate $HOME/.dvt using DVT_USER_DIR environment variable

22.1.5 (16 February 2022)

Bugfixes

  • DVT-17185 Toolbar buttons cannot be re-enabled after disablement in custom DVT perspectives

  • DVT-17219 Missing vertical scrollbar in tooltips when content overflows

  • DVT-17232 DVT CLI should be able to include GIT repositories when there is no underlying project created in the workspace

22.1.4 (8 February 2022)

Performance

  • DVT-17170 Outline View: Prevent memory leaks when closing large files

Enhancements

  • DVT-14736 Show error details by default in the license log

  • DVT-17209 Show LM_PROJECT value in the license log

Bugfixes

  • DVT-17102 Sometimes Find and Replace dialog content is not highlighted

22.1.3 (31 January 2022)

Bugfixes

  • DVT-17139 Syntax Coloring: Highlight in Code Templates specific editors might lead to UI hangs

  • DVT-17156 Syntax Coloring: Comments and strings are not properly colored in Code Templates specific editors

22.1.2 (26 January 2022)

Bugfixes

  • DVT-17001 Syntax Coloring is broken in compare editors

  • DVT-17045 Cancel build button is still active after full build has finished

  • DVT-17154 Some scripts are not working correctly when ANSI colors are always set for some system commands

22.1.1 (18 January 2022)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 21.1.# hotfix releases for early adopters.

  • Improved performance of incremental compilation, structured views, compare viewers

  • Improved UI responsiveness when using tooltips and hyperlinks

  • Improved UI performance when working with large files

  • Improved memory consumption when using diagrams, views, tooltips or opening many files

  • New filesystem explorer breadcrumb

  • New diagram magnifier

  • New workspace resource monitor

  • New distros based on Eclipse 4.19 and 4.21

Enhancements

  • DVT-14012 Quick views should persist their size and location

Performance

  • DVT-16978 Throttle down DVT Resource Monitor scan speed

Bugfixes

  • DVT-16926 Projects created via DVT CLI should get selected in Project Explorer and Problems View

  • DVT-17117 Crash when saving diagrams on Eclipse >=4.15

21.1.53 (22 December 2021)

Enhancements

  • DVT-17011 Diagrams: Add shortcut to reset magnifier zoom and size

  • DVT-17018 Diagrams: Add shortcut to fit diagram to canvas

Bugfixes

  • DVT-16870 Emacs+ Plug-in reports UI error

  • DVT-16952 Outline View cannot be used if you close it then reopen it

  • DVT-16999 The "Inspect" hyperlink from problem tooltip does not work when Inspect View is closed

21.1.52 (14 December 2021)

Bugfixes

  • DVT-16964 Editor Notification artefacts when horizontally scrolling the editor on Eclipse 4.6/4.7

  • DVT-16966 Profile directives specified in the build file should ignore the Open in Editor dialog option

  • DVT-16967 Report an Issue dialog hangs the UI if there is no Internet connection

21.1.51 (6 December 2021)

Bugfixes

  • DVT-16057 "The workspace tree is already locked" error sometimes pops-up at startup

  • DVT-16823 Writing in a newly opened file is blocked during build in Eclipse 4.19 and Eclipse 4.21

  • DVT-16847 Progress dialog displays wrong message during semantic search

  • DVT-16889 Specador: UML Diagrams should ignore the Content Filters indicator

  • DVT-16895 Specador: Font differences between diagrams generated in GUI vs Batch mode

  • DVT-16905 All views: Graphical artifacts when changing the active build configuration

  • DVT-16915 Sometimes Scope Breadcrumb causes StackOverflowException on Windows

  • DVT-16923 Illegal reflective access by ro.amiq.dvt.utils.DVTProcessRunner warning when using Java >8

  • DVT-16928 Manually triggered garbage collection causes Breadcrumb "SWT not properly disposed" exception

21.1.50 (23 November 2021)

Performance

  • DVT-7556 Custom Dialogs: Snapshot selection is slow for custom dialogs with many widgets

Features

  • DVT-13223 Diagrams: Ability to use a magnifier to locally zoom the diagram

Enhancements

  • DVT-16901 Add problem marker information in Inspect View

  • DVT-16902 Add "inspect" hyperlink in problem tooltip

21.1.49 (17 November 2021)

Bugfixes

  • DVT-16891 Recently added resource monitor breaks the license idle time functionality

21.1.48 (16 November 2021)

Performance

  • DVT-16300 Show usages: Improve performance when project files are out of sync

Features

  • DVT-15825 Automatically monitor growing number of resources in the workspace projects and pop-up a notification when performance may be affected

Bugfixes

  • DVT-16807 Rename refactoring with filename / javadoc updates might lead to overlapping code changes

  • DVT-16822 License status and progress bar are not on the right side of the bottom toolbar when starting DVT in a new workspace

  • DVT-16851 Theme Engine: Add support for YAML plugin

  • DVT-16867 Thread Dump Collector is not working with Java 11+

21.1.47 (8 November 2021)

Enhancements

  • DVT-16668 Tooltips: Ability to specify Natural Docs image locations

  • DVT-16816 Tooltips: Ability to embed images relatively to the source file from NaturalDocs comments

Bugfixes

  • DVT-16801 Diagrams: Sometimes multiple popup dialogs might get opened when pressing the corresponding toolbar button

  • DVT-16866 The patches for the Eclipse Platform are not applied

21.1.46 (2 November 2021)

Bugfixes

  • DVT-16757 Inconsistent members context menu behavior for Verification / Design Hierarchy Views

  • DVT-16788 Compile Order View: Search bar does not update the content if the view was minimized before

  • DVT-16802 Compile Order View: UI slowdown when updated during the first build of a project

21.1.45 (26 October 2021)

Performance

  • DVT-15747 Diagrams: Improve performance of embedded Inspect View updates

21.1.44 (19 October 2021)

Features

  • DVT-16759 New distros based on Eclipse 4.21 with Java 17

Enhancements

  • DVT-15815 Ability to embed WaveDrom description files within comments

Bugfixes

  • DVT-16196 Ability to recreate the project even if the .project file is corrupted

  • DVT-16290 Applying quick assist while a build is in progress freezes the UI

  • DVT-16673 Sometimes typing a path in the New Project Wizard is slow

  • DVT-16686 Sometimes "Restore is already in progress" pop-up appears when rebuilding

21.1.43 (11 October 2021)

Performance

  • DVT-16048 Improved performance of "replace all" in large files

Enhancements

  • DVT-1761 Create a predefined project with examples for Run Configurations, Code Factory templates, Custom Dialogs etc.

  • DVT-16709 Editor Notification: Change Toggle Details icons to expand/collapse

21.1.42 (4 October 2021)

Bugfixes

  • DVT-16582 Sometimes save cannot be completed when "Hide User Operation is waiting dialog" preference is set

21.1.41 (27 September 2021)

Enhancements

  • DVT-16098 Editor Notification: Ask for a full-build when changing the content of the active build config

Bugfixes

  • DVT-16288 Quick Types view shows "No matches found" instead of "All filtered by content filters"

  • DVT-16559 Ensure that DVT distro scripts run with POSIX mode disabled

  • DVT-16649 Compile Order view shows "All filtered by content filters" instead of "No matches found"

  • DVT-16652 Outline View shows "No matches found" instead of "All filtered by content filters"

  • DVT-16658 Editor Notification: Bad indentation when editor window is narrowed

  • DVT-16682 Quick Types View: NullPointerException thrown when content filters is enabled

21.1.40 (21 September 2021)

Bugfixes

  • DVT-16459 Verification Hierarchy View shows "No matches found" instead of "All filtered by content filters"

  • DVT-16569 Types View shows "No matches found" instead of "All filtered by content filters"

21.1.39 (15 September 2021)

Enhancements

  • DVT-16400 Editor Notification: Display the full path of the reported files

21.1.38 (8 September 2021)

Enhancements

  • DVT-14072 Scope Breadcrumb: Add "Show Diagram" action

  • DVT-16441 Breadcrumb: Tooltips should be split on multiple lines if exceeding a threshold

  • DVT-16563 Add support for Quick Lazy Outline View

  • DVT-16585 Quick Outline View: Quick search should support type and go (enter)

Bugfixes

  • DVT-16611 Eclipse doesn't start on MacOS due to missing permissions

21.1.36 (30 August 2021)

Enhancements

  • DVT-16496 Build report: Show total number of lines in project

Bugfixes

  • DVT-15902 Build report: Files compiled multiple times also show up multiple times in the top by nof lines

21.1.35 (23 August 2021)

Performance

  • DVT-11655 GUI freezes when there are too many annotations on one line

Bugfixes

  • DVT-16397 Filesystem Breadcrumb: Elements are sometimes not truncated after opening another file

  • DVT-16462 Filesystem Breadcrumb: Show/Hide actions only work in the currently visible editors

  • DVT-16530 viPlugin: Emulation mode does not work with split editors

21.1.34 (16 August 2021)

Bugfixes

  • DVT-16504 Verification Hierarchy View: Content Filters indicator broken

21.1.33 (9 August 2021)

Bugfixes

  • DVT-16092 Content Filters indicator broken on Eclipse 4.19 distros

  • DVT-16451 Applying content filters does not refresh Types View members pane

  • DVT-16458 Applying content filters does not refresh Verification Hierarchy ports panel

  • DVT-16470 Sometimes the tooltip for build directives is empty

21.1.32 (3 August 2021)

Performance

  • DVT-16449 Improve performance of new name validation in Rename Wizard

Enhancements

  • DVT-14795 Inspect View: Ability to pin view content

  • DVT-16382 Editor Notification: Pop-up when build automatically is disabled

  • DVT-16401 Editor Notification: Display the operation kind performed when updating the database

  • DVT-16438 Scope Breadcrumb: Trim elements label exceeding 40 characters

  • DVT-16447 Inspect View: Ability to clone view

Bugfixes

  • DVT-15780 Removed 'Stop Build' button from non-build consoles

  • DVT-16437 Removed 'Build Report' button from non-build consoles

21.1.31 (27 July 2021)

Enhancements

  • DVT-16299 Improve preference page search

Bugfixes

  • DVT-16431 Missing hyperlinks for included/imported files while using the mouse

21.1.30 (20 July 2021)

Enhancements

  • DVT-16366 Verification Hierarchy View: Preserve expanded state after update

  • DVT-16367 Verification Hierarchy View: Preserve selection after update

  • DVT-16368 Verification Hierarchy View: 'Expand All' / 'Collapse All' should not be persistent

  • DVT-16369 Verification Hierarchy View: Ability to cancel view operations

  • DVT-16370 Verification Hierarchy View: Keep selection in views after clearing the quick search bar

  • DVT-16371 Verification Hierarchy View: Tree scroll state should be persistent across updates if possible

  • DVT-16372 Verification Hierarchy View: Improved 'Expand All' performance for trees beginning with no-children nodes

Bugfixes

  • DVT-15907 Tooltip hyperlinks don't work when using WebKit browser engine (distros based on Eclipse >4.7)

21.1.29 (12 July 2021)

Enhancements

  • DVT-16361 Filesystem Breadcrumb: Change separator to '/'

Bugfixes

  • DVT-16346 Filesystem Breadcrumb: Dropdown menu shown elements threshold sometimes not working

  • DVT-16351 Filesystem Breadcrumb: Text is not properly visible on dark themes

21.1.28 (6 July 2021)

Performance

  • DVT-16204 Avoid UI freezes due to long tooltip content computation time

Features

  • DVT-16034 New filesystem explorer breadcrumb

Enhancements

  • DVT-15871 New editor notification bar presenting compilation database status

  • DVT-16337 Compile Order View: Ability to jump to the file selection using the return key

Bugfixes

  • DVT-16263 Error markers are sometimes misplaced when using +dvt_auto_link+false

21.1.25 (16 June 2021)

Performance

  • DVT-16248 Prevent memory leak when closing and reopening the Macros View

  • DVT-16251 Improve incremental build performance for unchanged touched files

  • DVT-16271 Improve Inspect View performance when navigating large files

21.1.23 (3 June 2021)

Bugfixes

  • DVT-16237 Hardwire the shell used by distribution scripts to /bin/bash

21.1.22 (24 May 2021)

Performance

  • DVT-16172 Minimize memory consumption caused by empty browser tooltips

Bugfixes

  • DVT-16173 Breadcrumb becomes unresponsive in currently opened editor after hierarchy updates

21.1.21 (18 May 2021)

Bugfixes

  • DVT-16187 Broken rename file refactoring operation

  • DVT-16188 IllegalArgumentException thrown when closing DVT

21.1.20 (17 May 2021)

Enhancements

  • DVT-16175 Run Configurations: Improved error messages when resolution of ${dvt_cli} variable fails

Bugfixes

  • DVT-16163 UI hangs until end of build when stopping a thread dump collection with "Open in editor" option active

  • DVT-16180 Scope Breadcrumb: Updates are triggered when moving the cursor on the same line

21.1.19 (10 May 2021)

Features

  • DVT-15905 Add a toolbar button to toggle Indent Guide

Bugfixes

  • DVT-16111 Compile Order View: Show only files with errors does not update the counters

21.1.18 (28 April 2021)

Bugfixes

  • DVT-16110 DVT does not start under certain Windows 10 configurations

21.1.17 (26 April 2021)

Bugfixes

  • DVT-9904 Unable to open Auto-Linked files with names containing the colon ':' character

  • DVT-16085 Eclipse 4.19 crashes when storing passwords in native linux keyring

  • DVT-16088 NullPointerException is thrown while executing some commands due to a bug in a 3rd party command listener

21.1.16 (20 April 2021)

Features

  • DVT-16075 Update Git and Python plugins

21.1.15 (19 April 2021)

REMOVED

  • DVT-16074 Remove distros based on Eclipse 4.4.1 and 4.18

Features

  • DVT-16073 New distros based on Eclipse 4.19

Enhancements

  • DVT-15552 Filter out stdout "Glibc detected java ... free(): invalid pointer" messages

  • DVT-16008 Restore the ability to install PERFORCE.2018 inside compatible distros

Bugfixes

  • DVT-15646 Validate GTK version before starting up DVT

  • DVT-15895 View element icons are sometimes not visible after platform startup

21.1.13 (5 April 2021)

Enhancements

  • DVT-15975 SWT automatic configuration excludes incompatible WebKit versions at startup

  • DVT-16012 Filter out stdout "REFRESH:preExec" messages

21.1.12 (30 March 2021)

Enhancements

  • DVT-3986 Provide an easy way to customize and filter the content of Outline view

  • DVT-6378 Outline View: Preserve expanded state after update

  • DVT-7134 Show indication in Outline View when some/all of the elements are filtered

  • DVT-12422 Switching between views and editor takes a lot of time for big files

  • DVT-12434 Outline view slows down opening large files

  • DVT-15340 Outline View: Ability to sort elements alphabetically or by definition when category sort is on

  • DVT-15979 Outline View: Preserve selection after update

  • DVT-15980 Outline View: Expand/Collapse All should not be persistent

  • DVT-15981 Outline View: Ability to cancel an "Updating..." action

  • DVT-15982 Outline View: Keep selection in views after clearing the quick search bar

  • DVT-15983 Outline View: tree/table scroll state should be persistent across updates if possible

  • DVT-15984 Outline View: Improved 'Expand All' performance for trees beginning with no-children nodes

21.1.8 (1 March 2021)

Enhancements

  • DVT-15850 Misleading error message when launching run configuration in empty workspace

Bugfixes

  • DVT-15853 Sometimes license remained checked-out after the last project was deleted

  • DVT-15857 Sometimes editors remained open after the containing project was closed or deleted

21.1.7 (22 February 2021)

Bugfixes

  • DVT-13908 Project Colors: Label background should be adjusted depending on the theme (dark / light)

21.1.6 (15 February 2021)

REMOVED

  • DVT-15826 Removed Eclipse 4.4.1, 4.6.3, 4.7,2 and 4.11 distros for Windows and MacOS

Performance

  • DVT-15811 Improve Compare Viewer performance on Eclipse 4.18

Enhancements

  • DVT-15792 Update WaveDrom package to v2.6.8

  • DVT-15810 Update Perforce plugin to version 2020.1

Bugfixes

  • DVT-15802 Custom Dialogs: Snapshot does not restore previous selections

  • DVT-15809 NullPointerException thrown when canceling the 'Add File' dialog within the Report Issue dialog

  • DVT-15835 Switch to AdoptOpenJDK 8 for Eclipse 4.11 distros to avoid crashes while doing thread-dumps

21.1.5 (5 February 2021)

Bugfixes

  • DVT-15796 Unexpected exception org/eclipse/ltk/core/refactoring/resource/DeleteResourceChange$1 thrown when deleting a project in Eclipse 4.18

21.1.4 (2 February 2021)

REMOVED

  • DVT-15772 Removed Collaborator 11 plugin from distros due to incompatibilities

  • DVT-15773 Removed Perforce 2015 plugin from distros

Performance

  • DVT-15478 Progress Monitor for "build automatically" makes the slows down the UI in some environments

Features

  • DVT-13441 Add YAML plugin in all distros

  • DVT-13735 Add JSON plugin in all distros

  • DVT-14570 Add EditorConfig plugin in all distros

  • DVT-15257 Pre-install IndentGuide plugin in all distros based on Eclipse 4.11 and 4.18

  • DVT-15258 New distros based on Eclipse 4.18

  • DVT-15403 Add Mylyn plug-in in all distros

Enhancements

  • DVT-14934 & DVT-15501 Update all distro plugins

  • DVT-15737 Use proper heap size when invoking java in scripts

  • DVT-15762 Switch to AdoptOpenJDK 11 for Eclipse 4.11 and 4.18 distros

  • DVT-15763 Switch to AdoptOpenJDK 8 for Eclipse 4.4.1, 4.6.3 and 4.7.2 distros

21.1.3 (25 January 2021)

Features

  • DVT-11532 Breadcrumb: Ability to use keyboard shortcuts to navigate the hierarchy

Bugfixes

  • DVT-15484 Switching the active build config does not update the toolbar indicator when build automatically is disabled

21.1.2 (18 January 2021)

Performance

  • DVT-15727 Improve editor performance for files containing many annotations

  • DVT-15728 Selecting large templates in Code Templates View causes UI hangs

21.1.1 (11 January 2021)

Performance

  • DVT-12783 Improve Types View performance

Enhancements

  • DVT-15667 Types View: Preserve expanded state after update

  • DVT-15668 Types View: Preserve selection after update

  • DVT-15669 Types View: Expand/collapse all should not be persistent across updates

  • DVT-15670 Types View: Ability to cancel view operations

  • DVT-15671 Types View: Keep selection in view after clearing the quick search bar

  • DVT-15672 Types View: Tree scroll state should be persistent across updates if possible

  • DVT-15673 Types View: Improved 'Expand All' performance for trees beginning with no-children nodes

  • DVT-15711 Quick Types View: Quick search should support type and go (enter)

Bugfixes

  • DVT-15686 Some of the flags supported by dvt.sh -options are not propagated correctly

  • DVT-15705 Types view: Wrong types number when Quick Types View is opened

20.1.44 (21 December 2020)

Enhancements

  • DVT-15655 Pure functions evaluation is not cached

Bugfixes

  • DVT-15654 Solver generate false contradiction when using less than constraint

  • DVT-15656 Constraint referring to dynamic constraints as boolean expression is not supported

  • DVT-15657 Exec block 'super' call ignored sometime

20.1.43 (8 December 2020)

REMOVED

  • DVT-15661 Remove the Old Compile Order View

Bugfixes

  • DVT-15635 Sometimes the main application window becomes unresponsive when a dialog is about to appear or new dialogs are partially painted

20.1.42 (4 December 2020)

Bugfixes

  • DVT-15618 NPE may be thrown when overwriting a predefined project after DVT restart

  • DVT-15621 Substitution does not work for build configuration files in certain scenarios

20.1.41 (20 November 2020)

Enhancements

  • DVT-15575 When DVT_XXX_HOME variables are not set, fall back to known $DVT_HOME locations

20.1.40 (13 November 2020)

Performance

  • DVT-15469 Decrease tooltip rendering timeout to prevent UI slowdowns

Bugfixes

  • DVT-15486 StackOverflowError thrown when triggering some shortcuts (Eclipse 4.11)

  • DVT-15563 No matches when re-running a previous search query after incremental build

20.1.39 (9 November 2020)

Bugfixes

  • DVT-15452 Sometimes the navigation history back/forward buttons don't work

  • DVT-15546 Unable to use ${message_sl} patterns in user-defined external tool filters

  • DVT-15550 Scripts platform check doesn't work for all 64-bit platforms

20.1.38 (30 October 2020)

Enhancements

  • DVT-14318 Filter out stderr dconf-WARNING messages

  • DVT-15530 Ability to use infinite license idle time by setting DVT_LICENSE_IDLE_TIME=0

Bugfixes

  • DVT-14787 XULRunner is causing a "too many open files" error when running on NFS home folders

20.1.35 (8 October 2020)

Bugfixes

  • DVT-15424 Theme Engine: Entering the preference page applies the last selected theme, even if no changes were done

20.1.34 (2 October 2020)

Performance

  • DVT-15390 Apply +dvt_skip_compile+ directives to top files in early compilation stages for improved performance

Bugfixes

  • DVT-15396 Crashes when generating diagrams

20.1.33 (28 September 2020)

Bugfixes

  • DVT-15379 Rename Refactoring Wizard: Once triggered, force preview remains persistent until the wizard is closed

  • DVT-15402 Unexpected solver result when repeat/foreach index/iterator used on inline constraints

20.1.32 (17 September 2020)

Enhancements

  • DVT-15349 Add more info about potential matches in the Search View

20.1.31 (11 September 2020)

Enhancements

  • DVT-14566 Add support for generic parameters in HTML tooltips and comment hyperlinks

  • DVT-15267 Add support for double colon as segment path separator in hyperlinks

  • DVT-15270 Improve accuracy of element searching for hyperlinks in comments

  • DVT-15316 Closing a project should cancel an ongoing restore operation

  • DVT-15336 False NON_RAND_CONSTRAINT semantic error on state.prev.initial field

  • DVT-15337 Add support for struct type attribute initialization { .attr = value, ... }

Bugfixes

  • DVT-15330 Wrong label for "Show Instances" action triggered from the editor right click menu

  • DVT-15334 dvt.sh always exits with non-zero code

20.1.30 (3 September 2020)

Enhancements

  • DVT-15306 Add support for init_up/init_down exec blocks

  • DVT-15307 Add support for function parameters with default value

Bugfixes

  • DVT-15299 Compile Order: Auto-linked files are not opened as part of the current project

20.1.29 (28 August 2020)

Enhancements

  • DVT-15180 Code Formatting: Bring opening keyword for block statements to the same line even if the line ends in comment

  • DVT-15281 Add support for non-standard field "thread" in component, action, flow and resource objects

  • DVT-15282 Add support for non-standard exec block kind 'definition'

  • DVT-15283 Add non-standard support for enum item used as array index

Bugfixes

  • DVT-15286 When SWT automatic configuration is disabled a wrong configuration is set

20.1.28 (21 August 2020)

Enhancements

  • DVT-15250 Support for non-standard 'soft' constraint

Bugfixes

  • DVT-15255 Template autocomplete proposals not available in empty files or outside a component/package/etc. scope

20.1.27 (14 August 2020)

Features

  • DVT-15235 Add debugging capabilities for procedural code

Enhancements

  • DVT-15205 Compile waivers: Add support to match problems by default-severity

  • DVT-15206 Compile waivers: Add support to waive all problems at once by disabling match message and path

  • DVT-15220 Compile Order: Selection is not preserved after filter is cleared on mixed project

Bugfixes

  • DVT-15188 Scope Breadcrumb: Inhibit updates while moving the cursor in a file

  • DVT-15194 Rebuilding project after deleting waivers file does not update problem to its original severity in batch mode

20.1.26 (30 July 2020)

Bugfixes

  • DVT-14774 Resource filters dialog allow to filter elements inside a folder which exceeds the limits

20.1.25 (24 July 2020)

Bugfixes

  • DVT-14975 Foreach constraint on action handle array expression is not taken into account (attributes are un-constraint)

  • DVT-14976 Select applied to array action handle does not randomly chose an array item action handle

20.1.24 (17 July 2020)

Enhancements

  • DVT-14598 Theme Engine: Change the default color of notifications

  • DVT-14965 Triggering a rebuild during restore should allow cancellation of an ongoing restore operation

20.1.21 (2 July 2020)

Enhancements

  • DVT-14556 Show an info message when WaveDrom diagrams are not shown because they are larger than the configured threshold

Bugfixes

  • DVT-14863 Scope Breadcrumb: Filtered elements are no longer grayed-out after mouse hover on Eclipse 4.11

  • DVT-14885 Compare Viewer doesn't work for git staged files

  • DVT-14914 Prevent HTML tooltip link action until the tooltip is focused to avoid crashes of WebKit rendering engine

20.1.20 (26 June 2020)

Bugfixes

  • DVT-14853 Sometimes the SWT automatic configuration timeout doesn't work

20.1.17 (16 June 2020)

Bugfixes

  • DVT-14758 DVT CLI: Some commands return before ending due to wrongly used net timeout

20.1.14 (28 May 2020)

Bugfixes

  • DVT-14666 Outline View does not update until first incremental or full build

  • DVT-14698 dvt.sh / dvt_cli.sh -eclipse_args -pluginCustomization is not taken into account

  • DVT-14708 Import statements are not transitive (package B imports A, package C imports B, C does not have access to A members)

20.1.13 (22 May 2020)

Bugfixes

  • DVT-14690 Wavedrom diagrams are not showing up in Inspect View on Eclipse 4.11

20.1.12 (21 May 2020)

Performance

  • DVT-14668 Improve speed of HTML tooltips rendering

CLEANUP

  • DVT-14683 Theme Engine: Change tooltip color to white for all tooltips

Bugfixes

  • DVT-14558 Sometimes the HTML tooltips are not properly sized

  • DVT-14662 Wavedrom diagram flashes inside the Inspect View while editing

  • DVT-14678 Semantic Search: Stopping current search query is not working when searching for declarations

20.1.11 (15 May 2020)

Performance

  • DVT-14640 Compile Order View: Improved 'Expand All' performance for trees beginning with no-children nodes

  • DVT-14651 Improve speed of JavaDoc and Natural Docs formatted tooltips

Enhancements

  • DVT-13619 Show warning when using an unresolved environment variable in compile waivers

  • DVT-14632 Support for fine-grained scheduling activity statements (parallel join_branch)

  • DVT-14634 Compile Order View: Preserve expanded state after update

  • DVT-14635 Compile Order View: Preserve selection after update

  • DVT-14636 Compile Order View: Tree scroll state should be persistent across updates if possible

  • DVT-14637 Compile Order View: Expand/collapse all should not be persistent

  • DVT-14638 Compile Order View: Ability to cancel view operations

  • DVT-14639 Compile Order View: Keep selection in view after clearing the quick search bar

  • DVT-14641 Quick Compile Order View: Quick search should support type and go (enter)

Bugfixes

  • DVT-14624 Some Windows scripts don't work when DVT_HOME contains spaces

  • DVT-14631 Wrong scenario diagram when many parallel nested blocks

  • DVT-14642 UI hangs for several seconds at start of full build for large projects

  • DVT-14647 Theme Engine: Filter boxes are not properly colored in Eclipse Dark Theme

20.1.10 (11 May 2020)

Performance

  • DVT-14625 Content Assist: Proposals are displayed slowly if they have big comments

Enhancements

  • DVT-12538 Add support for JavaDoc code tag in comments

  • DVT-14596 Enhancements for JavaDoc and Natural Docs comment formatter

  • DVT-14548 Theme Engine: Improve support for Eclipse dark themes

  • DVT-14619 Support for fine-grained scheduling activity statements (syntax and semantic)

Bugfixes

  • DVT-8722 JavaDoc comment is not picked up correctly when using a blank line after @param

  • DVT-14551 Theme Engine: Blinking notification text is not visible in dark themes

  • DVT-14554 Theme Engine: Error messages when saving a custom dialog snapshot are not visible in dark themes

  • DVT-14573 Theme Engine: Call Hierarchy info label is not properly colored when using Project Colors on Eclipse 4.11

  • DVT-14576 Theme Engine: Info labels are not colored uniformly in all views when using Project Colors

  • DVT-14577 Sometimes the SWT automatic configuration fails for XULRunner in high load CPU scenario

  • DVT-14589 Tooltips do not work on an already opened file after DVT is restarted

  • DVT-14608 Code Factory: "Create from Template" doesn't work

  • DVT-14609 Stop build from console button does not work for CLI-initiated builds

  • DVT-14617 Wrong sequential scheduling of parallel or schedule block actions when using select

  • DVT-14618 False constraint contradiction on bit-vector conjunction constraints

20.1.9 (30 April 2020)

Features

  • DVT-12679 DVT CLI: Add dvt_cli.bat script for Windows

  • DVT-14183 Ability to render comments using JavaDoc and Natural Docs in tooltips

  • DVT-14325 DVT CLI: Add -nostart flag to avoid starting a new Eclipse instance

Enhancements

  • DVT-4591 DVT CLI: Use the last workspace or $HOME/dvt_workspace if no workspace is specified

  • DVT-5502 DVT CLI: Add support for relative paths in createProject and importProject commands

  • DVT-14232 Use full path to system commands in Windows scripts to avoid issues when different tools replace the builtin commands

  • DVT-14488 DVT CLI: Ability to open a non-blocking dialog when using openCustomDialog command

  • DVT-14527 Support for struct assignments and struct equality and not-equality constraints

Bugfixes

  • DVT-12198 DVT CLI: Killing a dvt_cli.sh -noexit using Ctrl+C does not kill the spawned Eclipse process

  • DVT-14525 Inline constraints of already traversed actions not taken into account when under repeat, replicate, foreach activity blocks

  • DVT-14526 Action qualified fields not randomized when traversed

  • DVT-14538 Theme Engine: "Restore Defaults" action does not change Eclipse Theme to classic on Linux

  • DVT-14555 Some arguments / preferences and internal settings are ignored when starting dvt.sh with -bg

  • DVT-14557 Autocomplete tooltips are showing internal ID's instead of the correct information

20.1.7 (10 April 2020)

Enhancements

  • DVT-14481 Support for constraints between struct type variables

20.1.6 (2 April 2020)

Enhancements

  • DVT-14337 Ability to auto-indent or fully format the code on paste

  • DVT-14461 Smart Logs: Use appropriate coloring in dark themes

Bugfixes

  • DVT-9088 Cursor losing position when calling Undo after formatting the code

  • DVT-14434 Theme Engine: Dark UI theme does not work on distros based on Eclipse 4.7 or lower when using GTK3

  • DVT-14479 False errors in the XML editor for compile waivers with multiple <include> tags and without any <waiver> tag

20.1.5 (27 March 2020)

Enhancements

  • DVT-14424 Use smart log predefined filter coloring in conjunction with custom log_styles.xml

Bugfixes

  • DVT-14435 Invalid thread access triggered from Custom Pragmas

20.1.4 (20 March 2020)

Performance

  • DVT-14366 Theme Engine: Improved the response time when switching between themes in the Themes preference page

Enhancements

  • DVT-12891 Custom Pragmas: Ability to change the color in the UI for pragmas defined through Settings Management

  • DVT-14340 Adjust the dark theme of WaveDrom Diagrams for better readability

Bugfixes

  • DVT-14385 Fixed possible deadlock while typing new name in Rename Wizard

  • DVT-14392 Theme Engine: Diagrams background is not in sync with the UI theme

20.1.3 (9 March 2020)

Bugfixes

  • DVT-14365 False warnings issued by SWT automatic configuration

20.1.2 (28 February 2020)

Enhancements

  • DVT-14327 Support to declare components in packages

  • DVT-14328 Support to declare pure components and pure functions

  • DVT-14329 Added map and set collection types API

Bugfixes

  • DVT-14322 External Builders stopped working on Eclipse 4.11

  • DVT-14330 False UNDECLARED_IDENTIFIER semantic error for members of a template struct extended in a different package

  • DVT-14331 Scenario diagram not generated when push_front() is used on a initialized list

20.1.1 (21 February 2020)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 19.1.# hotfix releases for early adopters.

  • Improved constraint solving

  • Ability to inspect generated values

  • Support for new proposed standard additions (template types, replicate, arrays of flow and resource objects, etc.)

  • New WaveDrom Timing Diagrams

  • New Theme Engine

  • Automatically detect and use the best GTK version and browser engine combination on Linux

  • New distros based on Eclipse 4.11

Enhancements

  • DVT-14288 Improved SWT automatic configuration to avoid unstable configurations

Bugfixes

  • DVT-14246 DVT CLI: openCustomDialog command does not work without the optional -project argument

  • DVT-14275 False semantic errors on template instances on incremental compile

  • DVT-14276 Error not reported when accessing a component sub-instance inside an inline constraint (ex. comp == my_sub_instance_c)

  • DVT-14277 Scenario solver does not take into account the extends that follow the last instance usage

  • DVT-14278 Scenario solver does not take into account the constraints in template struct definition

  • DVT-14281 Refactoring status context previewers break in various plug-in combinations

19.1.50 (7 February 2020)

Bugfixes

  • DVT-14222 False warnings issued by SWT automatic configuration

  • DVT-14225 Eclipse 4.7 freezes when working with folders with large file-system hierarchies

19.1.49 (5 February 2020)

Features

  • DVT-9652 & DVT-12167 Automatically detect and use the best GTK version and Browser engine combination on Linux

Enhancements

  • DVT-14216 Filter out stdout GTK, GLib, etc. warnings for distros based on Eclipse 4.11

Bugfixes

  • DVT-14217 Force Adwaita theme when starting in GTK3 even when UI Light theme is used

  • DVT-14218 User confirmation not required when opening large files from tooltips

19.1.48 (29 January 2020)

Performance

  • DVT-14147 Prevent memory leaks when closing editors

  • DVT-14156 Optimized notification animations

  • DVT-14173 Prevent memory leaks when creating / deleting many files and folders

  • DVT-14191 Prevent memory leaks due to auto-build notification

Enhancements

  • DVT-14146 Update JRE in distro to Amazon Corretto 8u242

  • DVT-14157 Ignore DVT_LICENSE_FILE containing multiple hard-wired "FLEXLM" strings

  • DVT-14172 Support for runtime 'if' and 'repeat-while' activity control-flow constructs

  • DVT-14179 Support for runtime 'match' activity control-flow construct

Bugfixes

  • DVT-13786 Code Formatting: Disabled formatting regions contribute to vertical alignment formatting

  • DVT-14165 Code Formatting: Add whitespace after open paren/curly should take precedence over "Vertical align to open paren/curly"

  • DVT-14168 Generated values for resource lock/share connected to the same pool item object must agree on all pool item properties

  • DVT-14194 Scope Breadcrumb: Sometimes 'null' labels are displayed after rebuild

19.1.46 (6 January 2020)

Enhancements

  • DVT-14112 Add support for arrays of flow and resource objects

Bugfixes

  • DVT-14080 Sometimes scenarios containing both 'schedule' action blocks and inferred actions could not be generated

  • DVT-14117 A superfluous rebuild pop-up is issued after restore for projects without DVT Auto-linked files

19.1.45 (24 December 2019)

Bugfixes

  • DVT-14109 DVT Auto-linked files are broken after project restore

  • DVT-14110 Project description is not properly cleaned when using env vars in DVT Auto-Linked roots in conjunction with +dvt_auto_link_using_links+false

19.1.44 (20 December 2019)

Enhancements

  • DVT-14097 DVT CLI: Add new openPerspective command

  • DVT-14103 Add support for "constraint default" / "constraint default disable" constructs

Bugfixes

  • DVT-11996 Content Assist: Camel case proposals are not properly sorted

  • DVT-13919 Refactoring Rename: Incremental compilation not started when refactoring inside unsaved files

  • DVT-14069 Content Assist: UI hangs if proposal contains more than 1000 characters

  • DVT-14088 Theme Engine: Searched element is not visible in dark themes

  • DVT-14092 Theme Engine: UI and editor background not in sync by default

19.1.43 (11 December 2019)

Features

  • DVT-14026 Ability to not serialize auto-linked file paths to .project using +dvt_auto_link_using_links+false build directive

Bugfixes

  • DVT-14029 False contradiction between a constraint and the shadowed constraint in the action parent

  • DVT-14076 False DUPLICATE_FIELD semantic error on index variable when multiple 'foreach' blocks are in the same scope

19.1.42 (6 December 2019)

Bugfixes

  • DVT-13890 Don't allow launching a run configuration that contains ${dvt_dialog_prompt:non-blocking} with "Launch in background" unchecked

  • DVT-14029 False contradiction between a constraint and the shadowed constraint in the action parent

  • DVT-14032 Fixed "Synchronize UI theme color with editor background" checkbox in Themes preference page

  • DVT-14059 Non-SystemVerilog quick fixes stop working when Verissimo report is opened

19.1.41 (28 November 2019)

Bugfixes

  • DVT-13939 Possible memory leak after delta computation in auto-build notification

  • DVT-13945 Sometimes the diagram generation hangs on MacOS due to a JVM bug

  • DVT-13960 Windows dvt.bat script expects DVT_LICENSE_FILE to be FLEXLM when using FlexLM license servers

  • DVT-13971 Sometimes a NullPointerException is thrown when shutting down DVT

19.1.40 (12 November 2019)

Enhancements

  • DVT-13932 Support for non-standard 'message' and 'appendf' functions

Bugfixes

  • DVT-13931 Inline constraint not propagated down to hierarchy for compound action

  • DVT-13933 Constraint contradiction message between declaration (variable domain) and a constraint is ambiguous

  • DVT-13934 Semantic errors are cleared when .build file is modified

19.1.39 (7 November 2019)

Features

  • DVT-13911 Ability to switch between visible editor parts

Enhancements

  • DVT-13175 Pop-up important license related messages

Bugfixes

  • DVT-13773 Syntax Coloring: "@formatter: on/off" pragmas should be colored only when written in lowercase

  • DVT-13886 Random stability broken when introducing a new action rand field

  • DVT-13896 Scope Breadcrumb: Copy Qualified Name right click action does not work

  • DVT-13900 Semantic error not reported for constant initialization type mismatch

19.1.38 (31 October 2019)

Enhancements

  • DVT-13843 File compile timeout dialog should suggest using +dvt_skip_compile in conjunction with +dvt_prepend_init

Bugfixes

  • DVT-12724 Build config: In ius.irun compatibility mode, trailing '+' characters of directives are trimmed

  • DVT-13882 Breadcrumb: Fixed possible deadlock when saving a file with many dependencies

19.1.37 (25 October 2019)

Enhancements

  • DVT-13194 When Ctrl+Space is not set as Content Assist keyboard shortcut, display the active shortcut in a pop-up whenever opening a file

  • DVT-13376 WaveDrom: Ability to render embedded diagrams in tooltips

  • DVT-13841 Add support for non-standard conditional component compile

  • DVT-13855 WaveDrom: Added support for dark theme

Bugfixes

  • DVT-7275 Keyboard shortcuts stop working after pressing "Switch Editor Emulation Mode" (re-focus is required)

  • DVT-8302 In Emacs mode, Content Assist (Alt+/) is overridden by Word Completion

  • DVT-12264 Ctrl+C keyboard shortcut stops working in Eclipse mode after switching to Emacs mode and back

  • DVT-13095 After switching to Emacs mode, the Content Assist command gets duplicated in the Keys preference page

  • DVT-13835 Sometimes the UI is not showing up when starting in a used workspace due to SWTErrors thrown by the browser component

  • DVT-13854 Ctrl+J incremental find keyboard shortcut not working

  • DVT-13865 Unnecessary action inferred by solver to satisfy state input port connection (generated scenario was not minimum)

19.1.36 (21 October 2019)

Enhancements

  • DVT-13847 Trigger warning for constraint under activity using non-random action handle members

Bugfixes

  • DVT-13837 Sometimes a BuildCancelException is thrown in the UI when the build is canceled

19.1.35 (18 October 2019)

Enhancements

  • DVT-13780 Support for new proposed standard additions (template types, replicate, etc.)

  • DVT-13817 Trigger warning when import from component instead of package scope

  • DVT-13825 Report semantic error when constraint not referring to an action handle is placed under activity block

Bugfixes

  • DVT-13818 Constraints on fields of type string are not assigning correct values

  • DVT-13819 Inheriting from an action defined in a different component should not be allowed

  • DVT-13820 Extending an action defined in a different component should not be allowed

  • DVT-13834 Wrong scenario generated when using imbricated if-else constraints

19.1.34 (11 October 2019)

Enhancements

  • DVT-13802 WaveDrom: Ability to use comments inside JSON

Bugfixes

  • DVT-13801 WaveDrom: Inspect View should show an error when diagram is not generated

19.1.33 (4 October 2019)

Features

Enhancements

  • DVT-13037 Scope Breadcrumb: Add Open Type Hierarchy right-click menu action

  • DVT-13292 Code Formatting: Add a preference for disabling formatting lines threshold

  • DVT-13754 Scope Breadcrumb: Add right click menu actions for drop-down elements

  • DVT-13780 Support for new proposed standard additions (template types, replicate, etc.)

Bugfixes

  • DVT-13731 Build config: Trailing slash not removed from asymmetrically quoted strings (like \\"string\\")

  • DVT-13774 Syntax Coloring: "@formatter: on/off" not colored as pragmas

  • DVT-13779 Solver throws NullPointerException when int left bound is not specified e.g int in ..10

  • DVT-13794 Editor syntax coloring and line number are sometimes broken after using toggle block comment action

19.1.32 (20 September 2019)

Enhancements

  • DVT-13564 Ability to build recent changes from the "auto-build turned off" notification

  • DVT-13611 Highlight inactive code in compare viewers (grayed out background)

  • DVT-13750 Add flow object pool details in scenario diagram (Inspect View)

Bugfixes

  • DVT-13567 ViPlugin: Trigger notification when editing read-only files

  • DVT-13697 Run Configuration shortcut image background is white if "-options disable_cairo" is set

  • DVT-13712 Run Configuration shortcut image is not properly created on Windows

  • DVT-13749 Wrong explicit pool bind for buffer ports

  • DVT-13751 Wrong values generated by solver for flow objects data (ports connection constraints ignored)

19.1.31 (5 September 2019)

Enhancements

  • DVT-13698 "Show build log file content" console action should provide more details when the log file is not available

Bugfixes

  • DVT-13667 Folding: Toggle current line is not working for user defined folding region

  • DVT-13689 Support symlinks in Project Templates

19.1.30 (29 August 2019)

Features

  • DVT-10979 Hyperlink should check file size and not open / warn if it is too big

  • DVT-13485 Ask for user confirmation when trying to open large files

Enhancements

  • DVT-7442 Ability to quickly open a file from the same folder with the current editor

  • DVT-13694 Show action random/non-random field values in Inspect View

Bugfixes

  • DVT-13685 False constraint contradiction when resolving state ports connections

19.1.29 (20 August 2019)

Enhancements

  • DVT-13655 Force Adwaita theme when starting in GTK3

  • DVT-13656 Set default colors for notifications, breadcrumb, filter boxes and tooltip

Bugfixes

  • DVT-13653 UI slow-down when displaying huge flat trees with shallow depth

  • DVT-13659 Breadcrumb: Updates should be triggered only when the input changes

19.1.28 (14 August 2019)

Features

  • DVT-13597 Ability to specify editor associations using +dvt_editor_association_override build config directive

Enhancements

  • DVT-13604 Add constraint solver support for integers represented on more than 32-bits

  • DVT-13605 Constraints lookahead support trough pre_solve and post_solve exec blocks

  • DVT-13620 Syntax Coloring: Highlight does not work for files outside project

Bugfixes

  • DVT-13511 Support naming conventions for PSS

  • DVT-13512 PSS Naming conventions Category uses e Language entries

  • DVT-13586 Show warnings promoted to error in the Console View build log

  • DVT-13606 When used inside a repeat block the if-else chooses the same branch for all the repeats

  • DVT-13626 Fields not qualified as output are shown in diagram as outputs

  • DVT-13627 Upper/lower boundary for an integer is not correctly computed (upper boundary is 511 instead of 255 for an 8-bit integer)

  • DVT-13628 Not equals constraint is not correctly propagated and leads to unexpected scenario creation

19.1.27 (2 August 2019)

Enhancements

  • DVT-11250 & DVT-12413 Report XML errors for compile waivers

Bugfixes

  • DVT-9287 Suppress irun.history file generation when irun is invoked at startup

  • DVT-11956 & DVT-13595 Settings Management: Keyboard shortcut deletion is not handled correctly

  • DVT-13589 Breadcrumb: Resizing editor while breadcrumb is read-only forces a refresh

  • DVT-13590 Breadcrumb: Icons change their vertical position when resizing the editor

19.1.26 (26 July 2019)

Performance

  • DVT-12457 Improved Breadcrumb Navigation Bar performance

Enhancements

  • DVT-11261 Ability to see to how many problems a compile waiver was applied in the dvt_build.log file

  • DVT-12069 Ability to specify the icon path of a Run Configuration relative to the path of the .launch file

Bugfixes

  • DVT-13584 Run configuration's generated icons contain graphical artifacts on newer JREs

19.1.25 (19 July 2019)

Enhancements

  • DVT-11760 Show a visual indicator in the UI when automatic build for a project is turned off

Bugfixes

  • DVT-13561 Console filters stopped working on Eclipse 4.11 due to API change

19.1.24 (11 July 2019)

Features

  • DVT-13444 DVT CLI: Add new rebuildProject command

Enhancements

  • DVT-11537 DVT CLI: openFile command should support multiple file arguments

  • DVT-13004 CDT Integration: Do not show anonymous elements in the Types View for typedef'd structs/enums/unions

  • DVT-13354 Ability to hide "User Operation is waiting" dialog (when saving a file during build/restore)

Bugfixes

  • DVT-12847 DVT CLI: Invoking the dvt_cli.sh script right after closing DVT causes "did not respond to probe" errors

19.1.23 (4 July 2019)

Performance

  • DVT-13406 CDT Integration: Reduce the number of Codan checks enabled by default

  • DVT-13489 CDT Integration: Improve navigation performance in large C/C++ files when in scalability mode (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

Features

  • DVT-13420 CDT Integration: New C/C++ Extended perspective

Enhancements

  • DVT-10416 CDT Integration: Ability to set the CDT file types using the +dvt_cdt_file_type_map build configuration directive

  • DVT-12558 CDT Integration: Add IEE std. sv_vpi_user.h, svdpi.h, vpi_compatibility.h, vpi_user.h to DVT predefined libs and use them in +dvt_init+dvt

  • DVT-13114 CDT Integration: Automatically set CDT file types for all source and header files detected in the scanning phase of a C/C++ project

  • DVT-13426 CDT Integration: Ability to specify when CDT Codan should run using +dvt_run_codan+FULL+INCR

Bugfixes

  • DVT-9241 CDT Integration: Hyperlink to #included file should always open the C Editor

  • DVT-13405 CDT Integration: Disable all CDT Codan launch triggers by default

  • DVT-13414 CDT Integration: Non-top C/C++ files are not excluded from indexing during the first build after project creation

  • DVT-13504 Paging through opened editors gets stuck in DVT build configuration editors

  • DVT-13505 ViPlugin: Fixed ExecutionException on Eclipse 4.11

19.1.22 (1 July 2019)

Enhancements

  • DVT-13409 Ability to customize GTK2 theme font in the new DVT Theme Engine

  • DVT-13482 Ability to customize all editor fonts in the new DVT Theme Engine

Bugfixes

  • DVT-13184 NotHandledException thrown when double clicking on commands from Quick Access bar

  • DVT-13445 Support to dump SV target code for a generated scenario

  • DVT-13457 Custom Pragmas preference page is unreadable in dark themes

19.1.21 (21 June 2019)

Performance

  • DVT-13425 Syntax Coloring: Syntax and semantic highlighting performance improvement

Enhancements

  • DVT-12835 Add support for jumping outside brackets

  • DVT-13416 Improve New Project Wizard look & feel

Bugfixes

  • DVT-12965 CDT Integration: Ability to add C/C++ natures from New DVT Project Wizard

  • DVT-13113 CDT Integration: CDT GCC Built-in Compiler Settings command is not expanded correctly

  • DVT-13407 DVT CLI: The "-include auto" flag should not hide project settings

  • DVT-13419 CDT Integration: C/C++ files are still indexed after being removed from default.build

  • DVT-13424 CDT Integration: Sometimes Codan errors are not cleared by a full build

  • DVT-13427 Search View is not populated when "Pin the Search View" option is enabled

  • DVT-13431 Wrong scenario generated when empty sequential block before the first action handle traversal

  • DVT-13432 Traversals under if-else active branch should be scheduled sequentially

  • DVT-13433 Type/instance override is ignored when generating the scenario

  • DVT-13436 Custom Pragmas: Selected color is not used in the editor

19.1.19 (4 June 2019)

Bugfixes

  • DVT-13389 DVT CLI issues "Unknown command" for all commands except createProject, openFile, compareFiles

19.1.18 (31 May 2019)

Features

  • DVT-7198 & DVT-11685 New DVT Theme Engine for editors and widgets based on Eclipse Color Themes plugin

  • DVT-8271 Add Theme Engine support for Python (PyDev plugin)

  • DVT-9705 Add preference to invert diagram colors

  • DVT-10084 Add Theme Engine support for LUA (LDT plugin)

  • DVT-12001 Add Theme Engine support for TCL (TCL DLTK plugin)

  • DVT-12541 Add Desert theme to Theme Engine

  • DVT-13127 Add diff command to DVT CLI

Bugfixes

  • DVT-11048 Do not restore when using CLI createProject command

  • DVT-11640 Disable default Eclipse Font Zoom commands for Eclipse 4.6 (Neon) and newer

  • DVT-13060 Black on white background in Console View with Dark Theme when running a run configuration

  • DVT-13206 Add separated color preferences for notifications and filter boxes

  • DVT-13315 Syntax Coloring: Previewer in Preference Page does not get updated properly on Windows

19.1.15 (9 May 2019)

Bugfixes

  • DVT-1444 Node locked license doesn't work when the bound network interface is down (fix for Linux)

  • DVT-13287 Fixed the support for directory license sources to scan for license files (*.lic)

  • DVT-13288 Sometimes an exception is thrown when a deleted file is still open in the editor

19.1.14 (6 May 2019)

Bugfixes

  • DVT-13275 Scripts warn about "unset GTK_IM_MODULE=1 not a valid identifier"

  • DVT-13276 SVN and GIT plugins can't be installed using dvt_kit_installer.sh inside Eclipse 4.11 distros

19.1.13 (25 April 2019)

REMOVED

  • DVT-13259 Removed 32 bit Linux and Windows distros

Features

  • DVT-13230 Add new distros based on Eclipse 4.11

Enhancements

  • DVT-13252 Report semantic error when multiple enum items have the same value

  • DVT-13253 Report semantic error when input/output/lock/share ref field object type is not correct

Bugfixes

  • DVT-13251 False syntax error reported for static const declaration under package

  • DVT-13257 Fixed sporadic JVM crashes appearing when files were changed outside DVT while being parsed

19.1.12 (19 April 2019)

Performance

  • DVT-12383 Compare Viewer is very slow on huge files

Bugfixes

  • DVT-13216 False syntax error when a method call is used as a method call argument (e.g. foo(goo()))

  • DVT-13217 False UNDECLARED_ITENTIFIER semantic errors due to missing 'pss_top' component default instantiation

  • DVT-13218 False semantic errors after incremental build when a type is declared in a package and extended in some other package

  • DVT-13219 Semantic issues are not reported in the global scope (e.g. on missing return type or argument type of a function declared outside any package or component)

19.1.11 (16 April 2019)

Bugfixes

  • DVT-13198 Enum item value is not computed correctly when some of the previous enums have the value set

19.1.10 (11 April 2019)

Enhancements

  • DVT-13187 Added support for array of action handles

Bugfixes

  • DVT-13186 Unique constraint not working correctly for more than 3 items

19.1.9 (5 April 2019)

Enhancements

  • DVT-13108 CDT Integration: Add predefined content filters for C/C++ system headers, PSS C headers and SystemC headers

  • DVT-13109 Add +dvt_skip_compile support for C/C++

  • DVT-13151 Custom Dialogs: <dvt:Container> enabler attribute should support Combo and Text widgets

  • DVT-13155 CDT Integration: +dvt_systemc and +dvt_pss_cpp directive should only add the library include dirs but not the tops

Bugfixes

  • DVT-12825 Search View: Label always shows 0 matches when inspecting a previous search result from search history

  • DVT-13087 Search View: When inspecting previous search results, the active filters are not working

  • DVT-13119 Search View: Wrong number of matches are reported in file labels when content/category/quick search filters are applied

  • DVT-13159 UNDECLARED_IDENTIFIER semantic errors not triggered in constraints expressions

19.1.8 (28 March 2019)

Enhancements

  • DVT-13091 Breadcrumb: Focus first element which matches the search filtering

Bugfixes

  • DVT-13136 ArrayIndexOutOfBounds thrown by DLTK plugins when collecting task tags (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

  • DVT-13141 Breadcrumb: Special characters should not be appended in quick search bar

19.1.6 (22 March 2019)

Performance

  • DVT-13070 Syntax Coloring: Improving Semantic Coloring performance for big files

Enhancements

  • DVT-12964 DVT CLI: Ability to get the path of the currently edited file

  • DVT-12970 ViPlugin: Ability to see the total number of matches when performing a search

  • DVT-13041 Breadcrumb: Ability to filter elements while navigating in the dropdown children list

  • DVT-13065 Disable "Show most recently used tabs on overflow" preference in DVT distros

  • DVT-13079 Prompt to refresh files which are out of sync when searching for instances

Bugfixes

  • DVT-13051 No search hits reported when inspecting previous "search for instances" results in search history

  • DVT-13080 No search matches reported for out-of-sync files

  • DVT-13085 Show only files with errors in Compile Order view is not working for C/C++

19.1.5 (14 March 2019)

Features

  • DVT-13028 Add new distros based on Eclipse 4.9

Enhancements

  • DVT-2070 Ability to Show Hierarchy (F4) on variable type

  • DVT-11534 Add ${selected_resource_line} variable to launch configurations

  • DVT-12494 Search View label should show the full name of the searched element

  • DVT-13030 CDT Integration: Ability to set and run DVT external builders on C/C++ only projects

  • DVT-13032 Project Templates: Add "year" predefined parameter

Bugfixes

  • DVT-12993 CDT Integration: Content Filters not working for element-text and for element-type=LINKAGE

19.1.4 (8 March 2019)

Enhancements

  • DVT-12903 Breadcrumb: Use down arrow to access dropdown children list

  • DVT-12923 CDT Integration: Add support for cflags with whitespace separator (like -D NAME=value)* DVT-12972 DVT CLI: For the createProject command, demote non-existing -lang error to warning

Bugfixes

  • DVT-10288 Build config: -defineall directive does not define C preprocessing symbols

  • DVT-12385 Breadcrumb: Drop-down menu search description is not visible

  • DVT-12966 CDT Integration: Sometimes source paths extracted from .so files contain are based in the build directory instead of the actual location

  • DVT-12980 CDT Integration: Compile Order View is not populated after enabling C/C++ Extended Language for a pre-19.1.1 mixed SV+C project

  • DVT-12995 ViPlugin: Visual-line-mode edit operations should not be allowed on read-only files

  • DVT-12997 Breadcrumb: Toggle button takes effect only on visible editors

19.1.3 (4 March 2019)

Enhancements

  • DVT-12827 ViPlugin: Add support for 'G' command to move to the endline while in visual block mode

Bugfixes

  • DVT-12798 ViPlugin: Abbreviations should not expand if the there is an alpha-numeric string before the abbreviation

  • DVT-12829 ViPlugin: Visual mode edit operations should not be allowed on read-only files

  • DVT-12894 ViPlugin: Copy paste in block visual mode should not add a new line at the end of each copied row

19.1.2 (28 February 2019)

Enhancements

  • DVT-12925 Add log4j.config in DVT distros to disable EGit stdout warnings

Bugfixes

  • DVT-12922 CDT Integration: The gcc scan is not triggered during the first build after creating a project via the DVT CLI

  • DVT-12926 Sometimes incremental build never ends after a project restore

  • DVT-12949 Report an issue and what's new buttons are missing from toolbar

  • DVT-12951 Force license status indicator on the right side of the status bar

19.1.1 (22 February 2019)

Starting with the 19.1.1 major release our products are no longer compatible with old FlexLM license servers (<11.14).

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 18.1.# hotfix releases for early adopters.

  • Ability to solve and visualize DSL scenarios

  • New Scope Breadcrumb Navigation Bar in editors

  • Ability to define code regions with customizable editor behavior using Custom Pragmas

  • Enhanced C/C++ support including the ability to browse C/C++ elements in Types View, Macros View, and Compile Order View

REMOVED

  • DVT-3913 Removed support for DVT specific file licenses, migrated to FlexLM file licenses

  • DVT-12877 Removed support for FlexLM tools and daemon versions <11.14

  • DVT-12880 CDT Integration: Remove +dvt_gcc_link_system_headers build configuration directive

Performance

  • DVT-12845 Switched to G1 garbage collector in DVT distros

Features

  • DVT-6546 Queue on the license server when all licenses are in use

  • DVT-7325 CDT Integration: Show C/C++ files in the DVT Compile Order View

  • DVT-12106 CDT Integration: Ability to pass additional arguments to gcc while scanning the included files and to CDT project settings

  • DVT-12350 CDT Integration: Show C/C++ defines in the DVT Macros View

  • DVT-12567 CDT Integration: Exclude from CDT indexing the C/C++ files which are located within the project but not part of the DVT build configuration

  • DVT-12878 Added license status indicator in the status bar

  • DVT-12882 CDT Integration: Show C/C++ files in the DVT Compile Types View

  • DVT-12883 CDT Integration: Add built-in Content Filters for common libraries (C/C++ system headers, SystemC, PSS C)

Enhancements

  • DVT-2497 Ability to use DVT_LICENSE_FILE environment variable for FlexLM licenses

  • DVT-7307 CDT Integration: Re-index C/C++ projects when DVT build is triggered

  • DVT-12694 CDT Integration: Ability to create a standalone C/C++ project using the DVT CLI

18.1.50 (27 February 2019)

Bugfixes

  • DVT-12926 Sometimes incremental build never ends after a project restore

18.1.49 (21 February 2019)

Bugfixes

  • DVT-12887 ConcurrentModificationException thrown when scope breadcrumb updates during incremental compilation

18.1.43 (1 February 2019)

Features

  • DVT-8022 New scope breadcrumb navigation bar replacing scope information in the status bar

Bugfixes

  • DVT-12757 New DVT File -> Pick Template dialog does not work on Windows

  • DVT-12760 CLI importProject command closes other existing projects even when -close_others is not specified

  • DVT-12762 Add external tools filter for IUS UPF / CPF errors

  • DVT-12763 Content assist in Perforce unadded file triggers checkout warning

18.1.42 (15 January 2019)

Performance

  • DVT-12552 "Select Top" in Verification Hierarchy View is slow for big projects

Features

  • DVT-12726 Update all distro plugins to the latest version

Enhancements

  • DVT-12746 Add new external tools variable ${dvt_clipboard} which solves to the clipboard contents

  • DVT-12753 Avoid unnecessary Design Hierarchy View updates on incremental compilation

Bugfixes

  • DVT-12725 Downgrade Perforce plugin to version 2018.1 to avoid NullPointerException

  • DVT-12759 Semantic highlight broken when using toggle comment or replace all in a file

18.1.41 (13 December 2018)

Features

  • DVT-12239 Ability to define code regions with customizable editor behavior: folding, background color, "read-only" visual indication when edited

Bugfixes

  • DVT-12143 Semantic highlight is broken after refactoring operations which touch inactive open editors

  • DVT-12691 Opening files with dvt.bat on Windows doesn't work

18.1.40 (7 December 2018)

Features

  • DVT-12667 Add new "Show Instances" hyperlink action to see all instances of a component

Enhancements

  • DVT-12326 DVT CLI: Ability to create resource filters that match symlinks using -exclude|include flags

Bugfixes

  • DVT-4776 +dvt_auto_link_root+ should take into account the environment variables defined through +dvt_setenv+

  • DVT-12207 Do not auto-insert semicolon when pressing enter between curly brackets followed by identifier

  • DVT-12657 Actions under 'schedule' block locking the same resource cannot be scheduled concurrent

18.1.39 (29 November 2018)

Bugfixes

  • DVT-12495 No search results when re-running a previous search query after full build

  • DVT-12583 Wrong scenario generation when type extension is used to contribute another activity to the target action type

  • DVT-12584 Constraints using array sum property are ignored by scenario solver

  • DVT-12586 Sometimes Project Templates history is not recording previous parameter values

  • DVT-12587 In some cases the generated scenario differs even if the seed is preserved

18.1.38 (19 November 2018)

Bugfixes

  • DVT-12569 Scenario diagram name should reflect the action that is currently illustrated

  • DVT-12570 False contradiction error when inferring compound action containing if or repeat block in activity

  • DVT-12575 CDT method completion is slowed down (patch for CDT 9.2.1, 9.4.3, Eclipse Bug 534189)

  • DVT-12576 java.lang.NoSuchMethodError thrown in multiple UI components on Eclipse Luna 4.4.1 distros

18.1.37 (15 November 2018)

Enhancements

  • DVT-10103 Project colors: Color background instead of foreground by default

  • DVT-12534 Add option to configure the constants from build configuration file

  • DVT-12561 Add deprecated license daemon notice

Bugfixes

  • DVT-9349 CDT Integration: Sometimes CDT hangs due to an improper DVT listener

  • DVT-12064 CDT Integration: DVT CDT Builder does not run for a project created via the DVT CLI

  • DVT-12104 CDT Integration: Remove the language specification argument from gcc invocation when scanning the C/C++ included files

  • DVT-12308 Report error when using an ambiguous function (declared in multiple imported packages)

  • DVT-12545 Call of 'super' in 'exec init' block should inherit the parent component init statements

  • DVT-12549 NullPointerException thrown when cast expression is used in constraint expressions

  • DVT-12550 Wrong constraint contradiction reported when using type alias as variable type

  • DVT-12566 Build config: In ius.irun mode all extension mappings should be taken into account for each top file

18.1.36 (9 November 2018)

Enhancements

  • DVT-12108 Ability to change hyperlink color

  • DVT-12191 Build config: New +dvt_skip_directive which allows skipping any subsequent build config directive

  • DVT-12522 Hierarchical identifiers should allow select

  • DVT-12530 Report conflicting explicit and default pool binds when creating scenario

Bugfixes

  • DVT-12496 Semantic error markers disappear when checking out files using Cliosoft SOS revision control system

  • DVT-12508 CDT Integration: Sometimes source paths extracted from .so files contain an additional whitespace

  • DVT-12521 False semantic errors for non-fully qualified types even if package containing the types is imported

  • DVT-12531 To many inferences when compound action has inputs/outputs of stream type

  • DVT-12532 Semantic errors are not reported in build console at full build

  • DVT-12533 Hierarchical binds are not correctly handled for lock/share resource objects

18.1.35 (2 November 2018)

Performance

  • DVT-12456 Local license client takes a long time to respond leading to UI freezes

  • DVT-12480 Fixed memory leaks when opening large files

Enhancements

  • DVT-12493 Add support for Find Next/Prev actions in the Console View

Bugfixes

  • DVT-7155 Variables set via +dvt_setenv are not expanded in compile waivers "path" attributes

  • DVT-12361 Variables set via +dvt_setenv are not expanded in compile waivers <include/> tags

  • DVT-12400 Search View: Scope information is missing for cross-language hits

  • DVT-12416 DVT CLI: createProject -include auto:<threshold> should not affect the .dvt folder

18.1.34 (25 October 2018)

Performance

  • DVT-12443 Improved build time performance when TCL plugin performs checks on non-script files

  • DVT-12449 Opening a relative path from the embedded terminal might be slow on large projects

Features

  • DVT-12442 Added dvt_cli.sh -svn flag to createProject and importProject commands for automatically associating a project with the Subversive SVN plugin

Enhancements

  • DVT-12355 Open path under cursor from embedded terminal using Ctrl + click instead of double click

  • DVT-12437 Add "Copy Workspace Path" command to quickly copy to clipboard the path to the DVT workspace directory

Bugfixes

  • DVT-12446 Wrong scheduling and inference for actions using state objects

  • DVT-12447 Schedule blocks not properly randomized

  • DVT-12448 Conflicting pool binds not reported as error

18.1.32 (12 October 2018)

REMOVED

  • DVT-12371 Removed "Show in Local Terminal -> Terminal" context menu action overlapping "Open Terminal Here" action

Performance

  • DVT-12382 Quick Search in Search View is very slow for projects shared over a slow revision control connection

Features

  • DVT-2991 Add Bash Editor plugin to DVT distribution

  • DVT-5511 & DVT-6201 & DVT-11928 Ability to use some DVT generic features without having a DVT project opened by setting a license force checkout system variable

  • DVT-11853 Add Gerrit Code Review plugin to DVT distros (except for Eclipse 4.4.1)

  • DVT-12234 Add Collaborator (v11) plugin to DVT distros

Enhancements

  • DVT-5475 DVT CLI should use by default the Java network client implementation

  • DVT-10714 Build Config: +dvt_setenv+ should not trigger warning on left hand operand in appends

  • DVT-12354 Semantic Search (Ctrl+H) should open the appropriate search page regardless of the file extension

  • DVT-12369 Update all distro plugins to the latest version

  • DVT-12390 Scenario generation improvements (added support for hierarchical binds, constraints lookahead, resource pool binds, ...)

  • DVT-12391 Fixed StackOverflowException in Verification Hierarchy view when component instantiate itself

Bugfixes

  • DVT-11289 Create waiver from a problem whose message contains newlines fails on Windows

  • DVT-11949 Downgrade Pydev (Python) plugin to version 5.2.0 for Eclipse 4.4.1 distros to avoid incompatibilities

  • DVT-12250 Content Filters throws NullPointerException when view-set is not specified in the XML file

  • DVT-12368 ViPlugin: Undo checkpoints are not created when moving the cursor between edits

18.1.31 (2 October 2018)

Performance

  • DVT-12338 Rename refactoring dialog: Reduce new name sanity checks time

Enhancements

  • DVT-12334 Rename refactoring dialog: Refactored element name is too cluttered

  • DVT-12336 Rename refactoring dialog: Automatically select all text in new name input box

Bugfixes

  • DVT-12194 Syntax coloring: Non-alphanumeric characters in Build Config Editor comments are not colored with grey

  • DVT-12230 Semantic search using CTRL + H shortcut is not working properly

  • DVT-12327 Folding is broken for files with more than 15k lines

18.1.30 (25 September 2018)

Enhancements

  • DVT-12233 Syntax Coloring: Ability to customize the color of hyperlinks in comments

  • DVT-12282 Build configuration editor should show +dvt_init+ius.perspec compatibility mode in autocomplete

Bugfixes

  • DVT-12051 Syntax Coloring: Add proper colors for PSS in black themes

  • DVT-12279 Syntax Coloring: Whitespaces must be marked with gray color when "Show Whitespace Characters" is enabled

  • DVT-12306 DVT CLI: createProject -force should not preserve opened auto-linked files which are not compiled any more

  • DVT-12309 Wrong syntax error triggered for accessing static functions in global scope "::global_function_name"

  • DVT-12318 Disk space check on save should verify the drive where a file is saved instead of the workspace

18.1.29 (13 September 2018)

Enhancements

  • DVT-12238 Preference to enable disk full check on editor save action

Bugfixes

  • DVT-12178 Wrong name for searched elements in Search View

  • DVT-12231 Search View label is not consistent with the "Search for" option selected in the search dialog

  • DVT-12263 Exceptions thrown when closing a cloned editor with files containing macros

18.1.28 (6 September 2018)

Bugfixes

  • DVT-9756 Design Hierarchy View and Verification Hierarchy View showing 'No data' instead of default input message when not populated

  • DVT-12237 Check for disk full doesn't run periodically

18.1.27 (30 August 2018)

Enhancements

  • DVT-12229 Parser update to comply with PSS Standard Errata v1.0

Bugfixes

  • DVT-7482 Layers View buttons are not visible until resize of view

  • DVT-12213 DVT CLI: Using -include auto fails because path to JRE is not computed correctly

18.1.26 (27 August 2018)

Features

  • DVT-5837 Add support for Content Filters in Coverage View

Enhancements

  • DVT-12147 Add PSS covergroup, coverpoint, bins code templates

  • DVT-12185 Clean-up element types used in Content Filters and Outline View filters

Bugfixes

  • DVT-11663 DVT CLI openFile command on a file inside a project should refresh the file's parent directory

  • DVT-12179 Comments are not restored from disk

18.1.25 (9 August 2018)

Enhancements

  • DVT-12155 Enum item icon should be similar with all other languages

Bugfixes

  • DVT-12131 Double click in editor on a number does not select it

  • DVT-12142 Using enum item in left hand side of constraint issues a false error

  • DVT-12144 Autocomplete after extend <data_type> does not show only extendable types

  • DVT-12150 PSS code templates defined per-project are not available in content assist

  • DVT-12154 Content assist auto-activation on == should trigger after one blank

  • DVT-12161 Infinite loop when building a coverpoint with explicit data type in PSS

18.1.24 (3 August 2018)

Enhancements

  • DVT-12018 DVT CLI: Ability to automatically create resource filters at project creation time, based on the build configuration

Bugfixes

  • DVT-12042 Automatically insert single proposal does not work for Code Template proposals

  • DVT-12093 Semantic issues when using label in front of 'do' action traversal

  • DVT-12094 False UNDECLARED_IDENTIFIER semantic error inside constraint block of a 'do' action traversal

  • DVT-12110 File opened with wrong editor if the extension is not .pss (default)

  • DVT-12111 Code templates: Variables like ${cursor} are not properly replaced for PSS

  • DVT-12112 Autocomplete: Code template proposals do not appear for PSS

  • DVT-12113 Types from package imported in global scope not visible

  • DVT-12129 NullPointerException thrown in Annotations preference page when it contains annotations with no labels (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

18.1.23 (26 July 2018)

Enhancements

  • DVT-4414 Ability to configure the maximum number of lines in file and maximum number of matches for Mark Occurrences

Bugfixes

  • DVT-12036 Coverage View: Element count displays the number of named covergroups instead of total number of covergroups

  • DVT-12076 Build Config: Unmatched single quote errors are not reported

18.1.22 (20 July 2018)

Performance

  • DVT-11982 Improve the performance of problems management in projects with large filesystem hierarchies

Enhancements

  • DVT-11979 Show compile indices as part of the file info for any Inspect View input

  • DVT-12037 Trigger semantic error when size specified for pools of flow objects

  • DVT-12038 Trigger semantic error when bind associated with a non-pool object field

Bugfixes

  • DVT-12039 Semantic error not triggered for non existing component path in bind statements

  • DVT-12040 Semantic error not triggered when duplicate a predefined field

  • DVT-12041 Predefined fields are not shown in auto-complete proposals

  • DVT-12043 Escape special XML characters when creating a compile waiver from an existing marker

  • DVT-12052 Comments associated with the elements are not updated on incremental build

18.1.21 (6 July 2018)

Features

  • DVT-3985 Ability to open files from embedded terminal using double click

Enhancements

  • DVT-10991 DVT CLI: openFile command should support relative paths

  • DVT-11970 Trigger semantic error for illegal binds in activities

18.1.20 (28 June 2018)

Enhancements

  • DVT-11858 ViPlugin: Show warning when searching for non-existing word

  • DVT-11862 ViPlugin: Add "hls" command as shortcut for "highlightsearch"

  • DVT-11958 Add -close_others option to dvt_cli.sh importProject and createProject to close all open projects

Bugfixes

  • DVT-11856 ViPlugin: Adding a character in the middle of the searched word in command line moves cursor to the end of the word

  • DVT-11860 ViPlugin: Searching with regular expressions highlights wrong number of characters

  • DVT-11863 ViPlugin: Visual block mode must start with a selection of size 1

  • DVT-11864 ViPlugin: In visual mode, the last character on a line cannot be selected without the newline

  • DVT-11951 Missing decoration for files skipped using +dvt_skip_compile

18.1.19 (21 June 2018)

First Release