DVT IDE for VS Code VHDL User Guide
Rev. 24.1.9, 26 April 2024

Chapter 33. What is New?

#.# - major version - Includes new features, major enhancements, architectural changes, bug fixes. 
Since 2015, a major version is named in sync with the release year, for example the first major version of 2015 is 15.1.
NOTE: When switching to a new major version it is recommended to start in a new workspace.

#.#.# - minor version - Includes bug fixes, minor enhancements.

24.1.8 (24 April 2024)

CLEANUP

  • vscode-1512 The information displayed in the " dvt Build" output differs from the one displayed in the DVT Eclipse build console when canceling full build

Enhancements

  • vscode-1504 Add support for linked edits in refactoring operations and quick fixes

  • vscode-1533 Display pop-up messages when timeouts occur during specific build phases

Bugfixes

  • vscode-1362 Cursor jumps to the wrong position after applying refactoring operations

  • vscode-1386 Diagnostics View is not updated if view was visible while restarting the Language Server

  • vscode-1531 Sometimes errors from build configuration file are not displayed in Problems View when using autoconfig

  • vscode-1538 Compilation-related timeout directives are not taken into account

  • vscode-1540 Report an Issue gets stuck in "Collecting data..." until the Language Server is no longer actively processing requests

  • vscode-1541 "Go to definition" does not work in some build configuration files

  • vscode-1545 Project compilation database is incorrectly saved when the active build configuration is not the default

  • DVT-20271 False SELECT_NOT_ALLOWED errors for VHDL arrays used in SystemVerilog code for mixed-language projects

  • DVT-20390 In some cases, the default value of a parameter is not evaluated when the same module is instantiated both in Verilog and VHDL

24.1.7 (9 April 2024)

Features

  • vscode-1268 Ability to generate and open a build report by using "Open Build Report" command

Enhancements

  • vscode-1451 Use a tree structure for the preferences UI

  • vscode-1497 Ability to navigate to previous steps in Code Actions quick picks

  • vscode-1519 Report an Issue: Include the dvt_build.log file

  • vscode-1520 Ability to open the build log file by using "Open Build Log" command

  • vscode-1521 Ability to focus the " dvt Build" output by using "Open Build Output" command

Bugfixes

  • vscode-1300 FSM Diagrams: Sometimes the diagram is not rendered

  • vscode-1518 Refactoring: "Current directory" option can't be customized anymore after cancelling the file system browsing when using "Move selection to new file..."

  • vscode-1526 Memory Monitor: Linting pop-up notification is still displayed after memory error is thrown during build

  • vscode-1534 Language server metadata should be logged to the DVT Client console only when the state changes

  • DVT-17652 Print build configuration errors in the build console

  • DVT-20267 False errors after restore in predefined IEEE library

  • DVT-20295 Compile Waivers: Wrong path separator when displaying file paths in the "Create Waiver" dialog on Windows

  • DVT-20302 Print parsing time in the build log/console

24.1.6 (27 March 2024)

Enhancements

  • DVT-19953 Compile Waivers: Relative paths should not start with a slash character in the Create Waiver dialog drop-down

  • DVT-20256 License: Improved license management when encountering connectivity issues

Bugfixes

  • vscode-1481 & vscode-1156 Compile Waivers: Files specified in the build configuration should have higher precedence than the project level compile waivers file

  • DVT-19072 Build auto-config: Timeout does not work in specific scenarios

  • DVT-20211 Compile Waivers: Ignore duplicate usages of the same waivers file in the build configuration

24.1.5 (13 March 2024)

Performance

  • vscode-1505 High CPU usage persists for the Language Server process even in idle scenarios

Features

  • vscode-1269 Ability to collect project statistics

Bugfixes

  • vscode-1507 Debug information related to incremental compilation is not displayed in the " dvt Server" console

  • DVT-20201 Code Formatting: Do not indent the "@formatter:on" pragma

24.1.4 (28 February 2024)

Performance

  • vscode-1487 Speed-up opening source files

  • vscode-1488 Cancel does not work during build configuration analysis phase

CLEANUP

  • DVT-20073 License: Replaced the license events database with a capped human readable log

Enhancements

  • vscode-1355 Speed-up incremental build for operations that modify multiple files

  • vscode-1491 "Saving dictionary..." and "Dictionary saved." messages are wrongly displayed in the console when restore mechanism is not activated

Bugfixes

  • vscode-1482 Saving a dirty file cancels the ongoing incremental build without triggering a new one

  • vscode-1493 "Open a DVT Predefined Project..." command on Windows does not list any project

  • vscode-1494 Prevent start-up errors caused by corrupted internal workspace database

  • DVT-18176 Build auto-config: In specific scenarios file paths get truncated

  • DVT-19952 Compile Waivers: No hyperlink for compile waivers files specified in the build configuration using relative paths

  • DVT-20106 Compile Waivers: Specifying compile waivers files using undefined environment variables breaks build configuration parsing

  • DVT-20148 Do not trigger incremental build for opened files before project restore

24.1.3 (13 February 2024)

Enhancements

  • vscode-1166 Add new dvt_code.sh argument to find version of Language Server

  • vscode-1403 Add dvt_build.sh script to extension

  • vscode-1446 Add hyperlinks to " dvt Build" and "Debug Console"

  • vscode-1470 Updated the GLIBC and GLIBCXX start-up check to include VS Code 1.86 requirements

  • DVT-19032 License: Show client information (pid, user, host, hostid) in the log header

  • DVT-19753 Automatically generate thread dumps when incremental build takes longer than expected

  • DVT-19817 Report an Issue: Ability to select/deselect all attachments

  • DVT-19904 Quartus auto-config: Add support for QSYS_FILE option

Bugfixes

  • vscode-1392 Report an Issue: Should include DVT LS version

  • vscode-1463 Wrong file associations displayed in UI when using +dvt_ext_map directives

  • vscode-1467 Cancel does not work during restore

  • vscode-1478 Obsolete Language Server log files are not always deleted

  • vscode-1483 Launching multiple distro VSCodium instances with different DVT versions results in opening the same version as the first one

  • DVT-18729 Build config: Environment variables are not replaced for arguments of +dvt_db_location

  • DVT-19865 False TYPE_MISMATCH for entity with same name as character enum value

  • DVT-20054 License: In specific scenarios, connectivity issues are not detected immediatly

  • DVT-20055 License: In specific scenarios, license server response delays can cause UI slowness

24.1.2 (1 February 2024)

Enhancements

  • vscode-1291 Add description for problem matchers snippets

  • vscode-1322 Status Bar indicator displaying that Language Server is actively processing requests should mention the request ID in tooltip

  • vscode-1431 "Select Design Hierarchy Top" command is not available when the Design Hierarchy View is not visible

  • vscode-1435 Code Formatting: Add preference for formatting lines threshold

Bugfixes

  • vscode-1303 Workspace Symbols: Sometimes filtering elements results in "No matching workspace symbols" although there are matching elements

  • vscode-1454 Code actions requiring user input have no effect when selected with the mouse in VS Code versions >= 1.83

24.1.1 (18 January 2024)

Starting with the 24.1.1 major release our products are no longer compatible with old FlexLM license servers (<11.19).

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 23.#.# releases for early adopters.

REMOVED

  • DVT-19867 License: Removed support for FlexLM tools and dvtlmd daemon versions <11.19

  • DVT-19908 Remove support for +dvt_disable_expr_eval_vhdl directive

Enhancements

  • DVT-17984 Support for setting a package as elaboration top

  • DVT-19684 Disable incremental compilation timeouts when non-blocking incremental build is enabled

  • DVT-19753 Automatically generate thread dumps when incremental build takes longer than expected and non-blocking incremental build is enabled

  • DVT-19909 Non-blocking incremental build is enabled by default

Bugfixes

  • vscode-1422 Validation of DVT.license.source setting rejects new lines in multi-line string with the license contents

  • DVT-19847 Range attribute is not resolved for generics

23.2.30 (21 December 2023)

Bugfixes

  • vscode-1414 Files created using refactoring operations / quick fixes are not focused automatically

23.2.29 (13 December 2023)

Features

  • vscode-808 Ability to save and restore the project compilation database

Enhancements

  • DVT-18887 Code Formatting: Ability to place each parameter on a new line only if the number of parameters exceeds a certain value

Bugfixes

  • vscode-1230 When connecting to a standalone Language Server show all pop-up notifications issued before connecting

  • vscode-1390 Incorrect environment variables expansion on Windows

  • DVT-18702 Build config: -L shared library search dir should only be interpreted in dvt.gcc and xcelium.xrun compatibility modes

  • DVT-19423 Code Formatting: When more parameters per line is set, code gets merged with susequent single line comment in specific scenarios

  • DVT-19424 Code Formatting: When more parameters per line is set, maximum line width is exceeded in specific scenarios

  • DVT-19774 Compile Order View: Preserve build configuration order for argument files and +dvt_init invocations

23.2.28 (28 November 2023)

Enhancements

  • vscode-1365 Workspace Symbols: Add new query for displaying only types

  • vscode-1371 Ability to reference environment variables inside the DVT.environment.variables preference

Bugfixes

  • vscode-1356 Sometimes BadLocationException is thrown when document symbols are computed

  • vscode-1382 Design Hierarchy / Verification Hierarchy / Compile Order entry icons are not rendered on Windows

  • DVT-19755 Quartus auto-config: qip files located outside project directory are not handled correctly

  • DVT-19759 The same function evaluation may have inconsistent results for instance parameters elaborated in parallel

  • DVT-19761 Quartus auto-config: Disable file sorting in the generated build configuration

23.2.27 (17 November 2023)

Bugfixes

  • vscode-1370 Language Servers View shows incorrect server status

23.2.26 (14 November 2023)

Performance

  • vscode-1328 Ability to cancel ongoing incremental builds when a new one is triggered

  • vscode-1330 Do not perform incremental compilation for unchanged touched files

CLEANUP

  • vscode-1294 Rename "Rebuild" command to "Build"

Features

  • DVT-4730 New Compile Order view mode presenting the argument file inclusion tree and +dvt_init invocations

Enhancements

  • vscode-1332 Export DVT_CODE_SH and DVT_LS_SH environment variables in the integrated terminal

  • vscode-1354 Quartus auto-config: Ability to automatically configure compilation starting from an existing Intel Quartus project

  • DVT-8420 Compile Waivers: Ability to select the waiver file when creating a new waiver from a problem

  • DVT-18305 & DVT-11641 Ability to specify compilation waiver files in the build configuration

  • DVT-19625 Quartus auto-config: Automatically locate the Quartus .qip files required for compilation of binary .ip files

  • DVT-19641 Quartus auto-config: Improve debugging capabilities

  • DVT-19713 Improve adaptive incremental elaboration when many designs are changed

Bugfixes

  • vscode-1290 Language Server is not starting when project path points to a drive root

  • vscode-1323 Environment variables used in DVT.languageServer.launchCommand are expanded before running the command

  • vscode-1325 "Detected a non-DVT Language Mode association for the current file." warning is sometimes wrongly triggered

  • vscode-1336 Extension does not activate on OpenVSCode Server

  • vscode-1338 Sometimes images from Design Hierarchy / Verification Hierarchy / Compile Order are not rendered

  • DVT-19624 Quartus auto-config: Quartus Prime Pro 23.2 .qip format not recognized

  • DVT-19688 Design Diagrams: Show Diagram action not working on unelaborated entity with architecture

23.2.25 (1 November 2023)

Performance

  • vscode-1292 Skip already scheduled incremental builds after saving files when a full build operation is triggered

CLEANUP

  • vscode-1232 Increased VS Code minimum required version to 1.81.1

Enhancements

  • vscode-1233 Automatically generate a thread dump when request processing takes longer than a threshold

  • vscode-1305 Ability to ignore specific conflicting extensions during startup check

  • vscode-1331 Updated VSCodium to 1.81.1 in DVT distros

Bugfixes

  • vscode-1270 Refactoring operations affecting multiple files display a floating notification for each modified file

  • vscode-1334 Extension does not activate on code-server

23.2.24 (17 October 2023)

Enhancements

  • vscode-1282 Ability to restart the Language Server

Bugfixes

  • vscode-1274 Deactivate check for VS Code started using VSCode/code instead of VSCode/bin/code on Windows

  • DVT-19542 Incorrect architecture binding label name shown in Design Hierarchy for instance resolved through VHDL configuration

  • DVT-19582 Prevent memory leaks when closing diagrams

  • DVT-19587 Diagram timeout message might not be shown in certain conditions

23.2.23 (9 October 2023)

HIGHLIGHTS

  • Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19

CLEANUP

  • DVT-19560 License: Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19

Bugfixes

  • vscode-1219 Compile Order View: View label should take into account the search box input after rebuild

  • vscode-1279 Fix scrolling issue for WaveDrom Diagrams

23.1.22 (22 September 2023)

Enhancements

  • vscode-699 Refine icons used in Outline View and Content Assist proposals

  • vscode-1223 Ability to specify the Language Server ports when using dvt_ls script

  • vscode-1228 Rename Refactoring: Add preference to set default behaviour when encountering conflictual cases

  • vscode-1246 Bit Field Diagrams: Fix table layout for large diagrams

  • vscode-1250 Show notification when opening a DVT supported file with a language provided by a different extension

  • DVT-15757 Code Formatting: Add vertical alignment pattern for record declarations

Bugfixes

  • vscode-963 JavaDoc tags {@code} and are rendered differently in tooltips

  • vscode-1226 Wrong breadcrumb update after using "Open Entity Declaration" hyperlink

  • vscode-1227 Notify immediately when a Language Server fails to start, instead of waiting until DVT.languageServer.startTimeout expires

  • DVT-19385 False ILLEGAL_CONSTRAINT error for non-composite types

  • DVT-19426 Inconsistent behavior when resolving relative paths with parent directory segments crossing a directory symlink during build config parsing and file parsing

23.1.21 (12 September 2023)

Bugfixes

  • vscode-1249 Extension does not activate on VS Code 1.82 with Remote-SSH

23.1.20 (6 September 2023)

Features

  • DVT-12661 Code Formatting: Add preference to compact consecutive empty lines

Enhancements

  • vscode-1220 Improve UX for switching the active build configuration when the client is connected to a standalone Language Server

23.1.19 (25 August 2023)

Features

  • vscode-320 Intelligent code coloring for conditional parameterized generate

  • vscode-1206 Add database out of sync notification

  • DVT-15678 Ability to show including file for filelists

Enhancements

  • vscode-1210 Reorder Status Bar items

Bugfixes

  • vscode-1214 Design Breadcrumb: Wrong selection in editor when navigating to a generate block

  • vscode-1216 Design Breadcrumb: Wrong updates when triggering 'Open Design Breadcrumb Instance'

  • vscode-1221 Using 'dvt_code createProject .' should create a project with the name of the folder instead of ','

  • DVT-14302 SIGNAL_NEVER_* errors are not reported for signals declared in block statements

  • DVT-18801 Bit Field Diagrams: Ability to extract the table in a text format

23.1.18 (2 August 2023)

Features

  • vscode-574 Add extension walkthrough

Enhancements

  • DVT-16506 Specify preferences.ini and settings.json keys in Code Formatting documentation

Bugfixes

  • vscode-1211 Wrong versions of VS Code requirements checked at startup

  • vscode-1212 Sometimes the Language Server disconnects from the client during an active session

  • DVT-16977 Code Formatting: Wrong indentation of imbricated loops

  • DVT-19131 Build config: Multiple +dvt_skip_compile+not directives result in no files compiled

  • DVT-19142 False UNDEFINED_SUBPROGRAM error for logical operators used on array of boolean

23.1.17 (19 July 2023)

Bugfixes

  • vscode-1209 Extension is not activated on some Linux distros due to false failures in the prerequisites checks

23.1.16 (18 July 2023)

Enhancements

  • vscode-1162 Add preference to automatically save editors on rebuild

  • vscode-1167 Add an indication that there are other SystemVerilog / VHDL extensions installed

  • vscode-1200 Show a startup warning when GLIBC and GLIBCXX requirements are not met

Bugfixes

  • vscode-1198 The ports panel is sometimes wrongly updated when scrolling in the Instances panel of Design or Verification Hierarchy Views

23.1.15 (7 July 2023)

Features

  • vscode-1032 Show indicator in status bar that Language Server is actively processing requests

Enhancements

  • vscode-880 Ability to set license as part of a startup script instead of using the DVT.license.source preference

  • vscode-888 Validate that DVT.license.source preference does not contain leading or trailing whitespaces

  • DVT-19061 Bit Field Diagrams: Add support for VHDL unconstrained types

  • DVT-19085 Bit Field Diagrams: Ability to see the full name of a field in the table on hover

Bugfixes

  • vscode-846 & vscode-1139 Improve user experience when encountering network disconnect scenarios

  • vscode-1201 Diagnostics provided by DVT Problem Matchers disappear from the Problems View after navigating to their source code

  • vscode-1202 'Request License' and 'Schedule Demo' buttons are not properly rendered in the extension's details page

  • vscode-1203 False startup warning that VS Code was not started correctly reported when using Remote-SSH

  • DVT-19020 Bit Field Diagrams: Fix the order of VHDL array elements in the table

  • DVT-19077 Support for evaluation of ceil and log2 functions defined in the VHDL 2002 ieee.MATH_REAL package

23.1.14 (22 June 2023)

Features

  • vscode-883 Add support for ${command:dvt.getPathToSignalUnderCursor} input variable in VS Code tasks

  • vscode-1094 Add support for ${command:dvt.getActiveBuildConfigName} and ${command:dvt.getActiveBuildConfigFullPath} input variables in VS Code tasks

  • vscode-1136 Memory Monitor: Memory starvation handling mechanism

  • vscode-1148 Ability to select the entire block when cursor is placed on opening/closing keywords

  • vscode-1184 Show a startup warning when VS Code was started using VSCode/code instead of VSCode/bin/code

  • DVT-18696 Build config: Add support for questa.qrun compatibility mode

Enhancements

  • vscode-490 Ability to request a trial license from the error pop-up when license can't be checked-out

  • vscode-708 Ability to request a trial license or demo session from the extension's details page

  • vscode-1159 Ability to trace messages on Language Server side

  • vscode-1194 Heap usage indicator from Status Bar should display the value as integer

Bugfixes

  • vscode-1097 Creating non-existing files using Quick Fix does not update compilation errors

  • vscode-1103 Files created using refactoring operations / quick fixes are not focused automatically

  • vscode-1109 Overwriting an already opened DVT predefined project should reset the state of the opened files

  • vscode-1174 Applying Code Actions has no effect if the target file was not opened previously

  • vscode-1175 Compile Order / Design Hierarchy / Verification Hierarchy views are not fully populated after overwriting an already opened DVT predefined project

  • vscode-1192 Switching the top in Design Hierarchy / Verification Hierarchy view results in empty view if there is an active filter by port name

23.1.13 (7 June 2023)

Enhancements

  • vscode-1137 Report an Issue: Improve error messages when encountering network issues

  • vscode-1149 Diagnostics View: Ability to enable/disable auto-refresh

  • vscode-1150 Improve user experience for rename operations that require user confirmation

Bugfixes

  • DVT-18988 False DUPLICATE_VARIABLE for extended identifier signals with same case-insensitive name

  • DVT-19005 Evaluate identifier list with initial value

  • DVT-19030 No short circuit logic when evaluating boolean logical and/or

  • DVT-19031 Incorrect evaluation of argument attributes with an array select prefix

23.1.12 (23 May 2023)

Enhancements

  • vscode-1163 Ability to display the Language Server's heap usage in the Status Bar

Bugfixes

  • vscode-1160 Disable searching through info messages displayed inside the Compile Order View

  • vscode-1161 Disable context menu actions on info messages displayed in Design Hierarchy / Verification Hierarchy / Compile Order

  • vscode-1165 Sometimes the restart Language Server notification is not triggered after editing the build.ls file

  • vscode-1171 Existing filter is not taken into account when selecting the Design Hierarchy / Verification Hierarchy top

  • vscode-1176 Loading indicators are not displayed during rebuild in Compile Order / Design Hierarchy / Verification Hierarchy views

  • vscode-1177 Unable to start the Language Server if name.ls file contains leading or trailing whitespace for heap_size and stack_size values

  • DVT-17454 Incorrect string value override when using +dvt_defparam build config directive

  • DVT-18745 Throw semantic error for VHDL 2008 unary operators not supported in VHDL 1993/2002 syntax

23.1.11 (9 May 2023)

Bugfixes

  • vscode-1153 Updating diagnostics and incremental compilation don't work when reconnecting to the same standalone Language Server

  • vscode-1155 DVT.tooltips.parameterValuesRadix preference is not taken into account

  • vscode-1157 Language Server is wrongly started when closing notification suggesting to reactivate DVT for a previously deactivated workspace

  • vscode-1158 "Building..." notification does not dissapear when deactivating DVT support during an in progress build

  • DVT-18901 False INVALID_ATTRIBUTE EVENT error for signal prefix of alias type

  • DVT-18902 False AMBIGUOUS_SUBPROGRAM error for NUMERIC_STD.TO_INTEGER predefined function

  • DVT-18906 Incorrect "Open Declaration" hyperlink when type has the same name with the enclosing package

23.1.10 (25 April 2023)

Performance

  • vscode-1125 Improve overall Language Server response time when updating many diagnostics

Enhancements

  • vscode-1089 Ability to copy design hierarchy path of signal under cursor

  • DVT-18721 Trigger warning for unconnected inout/output ports in component instantiation

  • DVT-18892 Promote MISSING_PORT_CONNECTION warning to error for input ports

Bugfixes

  • vscode-1117 Semantic highlight is not updated automatically after an incremental build

  • vscode-1147 dvt_ls.sh does not print "Server started" when -noexit is provided

  • DVT-18904 In ius.irun/xcelium.xrun compatibility modes -v200x should enable VHDL-2008 support

23.1.9 (12 April 2023)

Enhancements

  • DVT-18699 New semantic error for self-reference in default value specification

Bugfixes

  • vscode-1006 Unable to connect to remote Language Server if the corresponding project folder is already opened

  • vscode-1128 Client does not connect to the Language Server due to short timeout

  • vscode-1141 Default value of 'DVT.languageServer.startTimeout' is not set in some VS Code versions

23.1.8 (29 March 2023)

Features

  • vscode-1127 Hyperlinks for JavaDoc @link tags in VHDL comments

Bugfixes

  • vscode-1078 Using "/*" wildcards in build configuration files results in wrong highlighting

  • vscode-1124 Design Hierarchy View: Resizing the instances panel to maximum height results in incomplete list of elements

  • vscode-1126 Sometimes the diagrams freeze when receiving scroll wheel click events

  • vscode-1129 Report an Issue: Unable to save issue report on Windows

  • vscode-1130 Report an Issue: Unable to expand or uncheck directories on Windows

  • DVT-18730 False TYPE_MISMATCH for case-when identifier when it references both an enumeration literal and an entity

  • DVT-18743 Compile Order View: Inconsistent compile index between Compile Order and Console when having skipped files

23.1.7 (15 March 2023)

Features

  • DVT-18368 Bit Field Diagrams: Add support for VHDL records

Enhancements

  • vscode-1116 Improved Report an Issue UI

Bugfixes

  • vscode-1046 FSM Diagrams: Diagram's context menu does not disappear when selecting transition table element

  • vscode-1058 Report an Issue: Unable to inspect the directories content when using Remote SSH

  • vscode-1111 Diagrams: Resetting the name when creating a new filter should disable the OK button

  • vscode-1119 Sometimes two "Building..." progress notifications are displayed during a full build operation

  • vscode-1121 DVT.languageServer.selection preference is not taken into account when set to "Connect to an available Language Server"

  • vscode-1123 Rebuilding project after deleting waivers file does not update problem to its original severity

  • DVT-18690 Cannot evaluate struct aggregate when formal part name differs by lower/upper case from record member name

  • DVT-18703 Schematic diagrams: Connections made via arrays of interface instances are not shown

  • DVT-18765 Declare Signal Quick Fix: Wrong declaration when the last signal has a multi-line default value

23.1.6 (1 March 2023)

Bugfixes

  • vscode-1000 When using dvt_code.sh with no arguments, don't close the main window when Getting Started editor is closed

  • vscode-1073 Heap size is not taken into account when using dvt_cli.sh -vscode -heap_size

  • vscode-1093 Using dvt_code.sh with -build and -<name>.build arguments should create the build configuration file

  • vscode-1107 Design Diagrams: Wrong diagram generated when navigating through the breadcrumb after switching between diagram types via context menu action

  • vscode-1113 Diagrams: Navigating through the available filters resets the content of the custom filters

  • DVT-18657 False TYPE_MISMATCH error for enum type loop index used in case expression

23.1.5 (21 February 2023)

Enhancements

  • vscode-1083 Easily accessible build configuration quick reference

Bugfixes

  • vscode-1070 Verification Hierarchy / Design Hierarchy is sometimes partially populated

  • vscode-1101 Compile Order View: Collapsing and expanding the view multiple times results in wrong list of compiled files

  • vscode-1104 Expanding Compile Order / Design Hierarchy / Verification Hierarchy after full build results in an empty view

  • DVT-15324 False INVALID_ATTRIBUTE when chaining attribute accesses

  • DVT-18299 Compile Order View: View label is not updated when clearing the search box

  • DVT-18637 WAVEDROM_FILE pragma does not work with full paths

23.1.4 (8 February 2023)

DEPRECATED

  • DVT-18604 License: Support for FlexLM tools and dvtlmd daemon <11.19 is deprecated and will be removed in future versions

Features

  • DVT-18605 License: New client implementation based on FlexLM 11.19 (disabled by default)

Enhancements

  • DVT-18582 Cannot evaluate attributes of non-enum scalar types

Bugfixes

  • vscode-1102 Design Hierarchy View: Incomplete name displayed in view header for entity and architecture tops

  • DVT-18240 License: In some cases the license client leaks a large number of CLOSE_WAIT sockets due to a FlexLM bug

  • DVT-18588 No UNELABORATED_PACKAGE warning triggered when multiple packages are named the same in different libraries

  • DVT-18603 License: In some cases when multiple license sources are set and no license is available, the license client is repeatedly queuing and dequeuing for a license

23.1.1 (19 January 2023)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 22.1.# hotfix releases for early adopters.

Performance

  • DVT-18519 Reduce memory footprint during elaboration

REMOVED

  • DVT-18516 Removed +dvt_enable_elaboration directive

Features

  • vscode-1092 Add dvt_code -files_exclude argument to exclude files from VS Code / VSCodium Explorer View

Enhancements

  • vscode-1044 Autoinsert a '*' on Enter in a block comment

  • vscode-1081 Ability to add or skip files from compilation using Explorer context menu

  • DVT-18453 Support evaluation of 'POS attribute for enums

  • DVT-18487 Reduce memory footprint of elaborated design with many generates blocks

  • DVT-18518 New Init from SimLog timeout mechanism

  • DVT-18531 Improve Init from SimLog cancel mechanism

Bugfixes

  • vscode-1087 Started server id is not printed when dvt_ls.sh -noexit is used

  • DVT-18445 Wrong value for attribute of non-array scalar type

  • DVT-18459 False UNDECLARED_CONFIGURATION error for SystemVerilog configuration referenced in VHDL configuration

  • DVT-18460 False UNELABORATED_ENTITY warning after incremental adaptive elaboration of component instance in some cases

  • DVT-18480 Aggregate value not evaluated for record context with member constraints

  • DVT-18517 Init from SimLog: Extracted compilation arguments are truncated for lengthy inputs

22.1.39 (20 December 2022)

Bugfixes

  • vscode-836 Diagnostics View: Missing server information on Windows

  • vscode-1062 Wrong "Building..." notification when rebuild is triggered without license

  • vscode-1088 Compile Waivers are not applied automatically when VS Code is unable to watch for file changes in large workspace folders

  • vscode-1090 $ENV_NAME system variables are not resolved correctly when used in DVT.languageServer.launchCommand preference

  • DVT-18468 Incorrect method evaluation during the elaboration of multiple tops in some cases

Enhancements

  • vscode-1067 Improve user experience when working with invalid compile waivers file

22.1.38 (14 December 2022)

Bugfixes

  • DVT-18350 & DVT-18351 False UNDEFINED_SUBPROGRAM error for user defined range type

  • DVT-18373 Wrong MISSING_CONSTRAINT when declaring multiple variables/signals with same datatype

Enhancements

  • DVT-18195 Support for evaluation of ceil and log2 functions defined in ieee.MATH_REAL package

  • DVT-18244 Add support for evaluation of predefined to_string functions

22.1.37 (6 December 2022)

Bugfixes

  • vscode-1020 Different errors occur when performing actions in newly created files

  • vscode-1072 Natures detection progress notification is displayed at the same time with the 'Select languages' quick-pick

Enhancements

  • vscode-1066 Ability to relocate Language Server's logs and registry directories

22.1.36 (22 November 2022)

CLEANUP

  • vscode-829 Increased VS Code minimum required version to 1.67.2 due to update to LSP 3.17

Bugfixes

  • vscode-986 Avoid serializing invalid argument values in the build.ls file

  • vscode-1055 FSM Diagrams: Applying any preference in a new diagram generates an empty editor

  • vscode-1061 Compile Order View: Sometimes the view is empty after full build

  • DVT-18352 False syntax error for empty bit string literal

  • DVT-18358 Missing UNELABORATED_MODULE warning after project restore

  • DVT-18359 Instance parameter values under generate blocks are not elaborated in some cases

Enhancements

  • vscode-1011 Validate nature values in the build.ls file before starting the Language Server

  • DVT-18287 Change +dvt_elaboration_skip directives to exclude elaborated instances

  • DVT-18288 Add elaboration directives to black box instances

22.1.35 (17 November 2022)

Bugfixes

  • vscode-1050 Compile Order View: Wrong results when searching for a file before full build is done

  • vscode-1052 Compile Order View: Wrong results when toggling Content Filters if the search box is populated

  • vscode-1057 Sometimes the Breadcrumb throws an error after closing a Diagram Editor

  • vscode-1059 Diagrams get stuck in "Generating Diagram..." after applying a filter

  • DVT-18132 NullPointerException is thrown when undefined environment variables are used in +dvt_skip_compile

  • DVT-18151 False MISSING_CONSTRAINT for string variable inside record type

  • DVT-18322 False MISSING_CONSTRAINT when declaring variable of constrained record type

  • DVT-18326 False TYPE_MISMATCH when using attribute of an array select

22.1.34 (8 November 2022)

Bugfixes

  • vscode-1007 "Cancel Build" command has no effect when it's invoked right after the build started

  • vscode-1023 Compile Order View: View content is not updated correctly after clearing the search box

  • vscode-1024 Compile Order View: UI freezes when the last match gets removed at incremental build

  • vscode-1049 NullPointerException when opening a file with an unknown extension

  • vscode-1053 Compile Order View: Sometimes clearing the search box freezes the view

  • vscode-1054 Marketplace extension is missing the dvt_simlog_processor.sh script

  • DVT-11381 False UNDECLARED_IDENTIFIER error for hierarchical argument access in subprogram call

  • DVT-18212 False UNDECLARED_IDENTIFIER for enum value

  • DVT-18280 Package parameter value not computed when referencing another local parameter with package scope

Enhancements

  • vscode-996 Show a visual indication in the UI when build is in progress

  • vscode-1027 Show a visual indication in the UI when saving a diagram

  • vscode-1035 Add preference for controlling if the build console should be automatically focused when a build starts

22.1.33 (2 November 2022)

Bugfixes

  • vscode-1047 Extension build pre-installed in a DVT distro fails to activate

22.1.32 (1 November 2022)

Performance

  • vscode-1029 Improve Workspace Symbols performance

  • DVT-17481 Reduce memory needed during elaboration for design with many generates

Features

  • vscode-633 Added tasks predefined problem matchers for several simulators

Bugfixes

  • vscode-1015 Dismissing the QuickPick when selecting a standalone server to connect to will still connect to a server

  • vscode-1036 NullPointerException when opening the Refactor Preview Panel

  • vscode-1040 BadLocationException thrown when publishing diagnostics after incremental build

  • DVT-17800 False AMBIGUOUS_SUBPROGRAM when scope of declaration includes ambiguous functions in a context

Enhancements

  • vscode-885 Improve UI usability when overwriting a predefined project

  • vscode-1016 Improve user experience when license can't be checked-out

  • vscode-1033 Show error message when DVT_CHECKOUT_HOOK fails

  • vscode-1034 Ability to customize the working directory when invoking DVT_CHECKOUT_HOOK

  • DVT-18264 Add dedicated build configuration directive for loop cutoff of function statements

22.1.31 (20 October 2022)

Bugfixes

  • DVT-18150 False AMBIGUOUS_SUBPROGRAM for procedure followed by array select

  • DVT-18155 False TYPE_MISMATCH when using 'RANGE attribute

22.1.30 (11 October 2022)

Bugfixes

  • vscode-1010 Unable to open files from Compile Order / Design Hierarchy / Verification Hierarchy when they are on a Windows mapped network drive

  • DVT-18161 Do not throw SENSITIVITY_MISSING warning in scope inside local process function/procedure

  • DVT-18171 Incorrect parameter value when assigned to a non-constant function

Enhancements

  • DVT-18172 dvt_elaboration_loop_block_cutoff should also limit for/while/repeat statements in function evaluations

22.1.28 (28 September 2022)

Bugfixes

  • DVT-17578 Wrong OUT_OF_BOUNDS_SELECT error thrown in aggregate

  • DVT-17579 Wrong UNDECLARED_IDENTIFIER when aliased object is an array select followed by member access

  • DVT-17584 Do not throw SENSITIVITY_MISSING inside function/procedure declared inside process

  • DVT-17775 False MISSING_CONSTRAINT when subtype is using open keyword

  • DVT-17974 False ASSIGNMENT_NON_BLOCKING warning in sequential always block with event control error

  • DVT-18109 The +dvt_set_directive_nof_args only works within the first +dvt_init section

  • DVT-18127 Thread Dump Collector: Fix broken zips caused by SIGINT signals

Enhancements

  • DVT-17409 Build config: -ccwarn directive should take one argument

  • DVT-17593 Build config: -denalipath directive should take one argument

  • DVT-17776 Build config: -dms_wreal_init directive should take one argument

  • DVT-17937 Build config: -max_always_prune should take one argument

22.1.27 (13 September 2022)

Performance

  • DVT-17444 Improve parameter text value computation for large arrays

Bugfixes

  • vscode-970 Wrong breadcrumb when generating diagram from Design/Verification Hierarchy

  • vscode-994 Design Diagrams: Sometimes 'Show Connections' generates incomplete diagram

  • vscode-1014 Code Actions: Edit inserted at wrong offset when trigger editor is different than target editor

Enhancements

  • DVT-17893 Build config: Add predefined environment variable _XRUNROOT_ in xcelium.xrun compatibility mode

  • DVT-17938 Ability to customize the number of arguments for build config directives using +dvt_set_directive_nof_args

22.1.26 (7 September 2022)

Bugfixes

  • vscode-976 Build Console update is delayed a few seconds after the build is done

Enhancements

  • vscode-1012 Code Factory: Improve performance and usability

22.1.25 (30 August 2022)

Bugfixes

  • vscode-966 Sometimes Design and Verification Breadcrumbs are not visible

  • vscode-1002 Files displayed in the Compiled Files View should not be affected by the DVT.workspaceSymbols.maximumNumberOfSymbols preference

  • vscode-1005 Workspace Symbols: Unable to navigate to file in Windows

  • DVT-14659 Elaboration support for a configuration used inside another configuration with instance paths relative to the first

  • DVT-17977 Wrong evaluation of concatenation with left hand type conversion

Enhancements

  • DVT-17860 Automatically compress generated thread dumps

22.1.24 (22 August 2022)

Bugfixes

  • vscode-995 Preserve cursor focus when showing the Build Console

  • vscode-998 IllegalStateException thrown when using Extract to Module

Enhancements

  • vscode-878 Code Factory: Ability to use custom templates

  • vscode-961 Using Show Diagram on a port in Design Hierarchy Ports View should generate a connection diagram

  • vscode-981 Limit the number of symbols displayed in Workspace Symbols View

22.1.23 (16 August 2022)

CLEANUP

  • DVT-17912 Do not show BuildCancelException after canceling the elaboration in some cases

Features

  • vscode-697 Scope Breadcrumb: Ability to copy qualified name

Bugfixes

  • vscode-873 FSM Diagrams: The content of the transition table is duplicated when applying preferences

  • vscode-887 Open folder from a mapped network drive does not work

  • vscode-929 & vscode-959 Breadcrumb is not updated when switching from text editor to diagram

  • vscode-952 Ignore empty values of -lang argument in dvt_ls.sh and dvt_code.sh

  • vscode-974 NPE when computing breadcrumb after using hyperlinks

  • vscode-975 NPE when computing diagnostics after incremental build

  • vscode-979 Error thrown when invoking the "Activate" command inside an empty directory

  • vscode-982 Terminal environment is incorrectly altered when the inherited environment variables contain strings with other environment variables

  • DVT-15227 Cannot use range attribute on constrained port in a loop generate

Enhancements

  • vscode-707 Implement folding range on the server side

  • vscode-821 WaveDrom Diagrams: Display a message in the tooltip when wave description exceeds the limit of characters

  • vscode-904 Check for mandatory -lang argument when using dvt_ls.sh and dvt_code.sh

  • vscode-905 Prompt for selecting project language support if no language is found inside build.ls or .project files

  • vscode-935 Wavedrom Diagram updates as you type

  • vscode-980 Switch to Java 11 for Linux ARM64 and macOS Apple Silicon

  • DVT-15941 Set a limit for the number of incrementally adaptive elaborated instances

  • DVT-17678 Add folding range for #region/#endregion block

  • DVT-17934 Improve recurrence detection for instances under generate block during elaboration

  • DVT-17935 Add option to configure the maximum recurrence depth for instances under generates

22.1.22 (2 August 2022)

Performance

  • DVT-17878 Slow incremental adaptive elaboration when changing package content referenced in many modules

Enhancements

  • vscode-793 Ability to "Open Log" from DVT Language Servers View

  • DVT-17428 Natural Docs definition list formatting in tooltips is not rendered correctly

  • DVT-17875 Support negative values for loop generate indices

Bugfixes

  • DVT-14925 Incorrect recurrence detected for instances of the same design but with different parameter values

  • DVT-17575 Support for non-value entity generics in elaboration

  • DVT-17834 Recurrence not detected at incremental elaboration

  • DVT-17881 OutOfBoundsException thrown when selecting from an unpacked array

22.1.21 (21 July 2022)

Enhancements

  • DVT-17801 Elaborate enum constants defined inside architecture

Bugfixes

  • vscode-716 GUI freezes when the last match from the Verification/Design Hierarchy Views gets removed at incremental build

  • vscode-805 Design Hierarchy: Sometimes the view becomes empty after expand/collapse

  • vscode-926 JavaDoc tags are not highlighted

  • vscode-933 "Jump to Matching Pair" command has no effect for files opened during full build

  • vscode-937 Wavedrom diagrams are not generated for files opened during full build

  • vscode-953 Edits are applied directly when using "Refactoring with Preview..." command

  • vscode-954 Report an Issue gets stuck in "Collecting data..." when no folder is opened

  • vscode-955 Report an Issue: OS info not collected

22.1.20 (11 July 2022)

Enhancements

  • vscode-795 Ability to activate / deactivate DVT support in a workspace

  • vscode-895 Report an Issue: Collect all available registry files / logs / bootstrap logs when no language server is active

  • DVT-17743 Perform automatic profiling of design elaboration after a configurable time threshold

Bugfixes

  • vscode-648 Design Hierarchy/Verification Hierarchy scroll gets stuck after a sequence of actions

  • vscode-938 Update code templates to place cursor in the right position after insertion

  • DVT-17777 UNELABORATED_PACKAGE warnings are sometimes not properly triggered at incremental build in the currently edited file

22.1.19 (28 June 2022)

Enhancements

  • vscode-721 Ability to start VS Code / VSCodium using dvt_cli.sh -vscode

  • vscode-806 Compile Order: Ability to show only files with errors

  • DVT-14954 Evaluate assignments where the LH side is hierarchical identifier

  • DVT-17703 Rename NO_GENERATE_BLOCK_EVAL value of elaboration control directive to EACH_GENERATE_BLOCK_ONCE

  • DVT-17704 Add option to not elaborate generate blocks

Bugfixes

  • DVT-17570 Incorrect INCOMPLETE_ARRAY_AGGREGATE error for array of records initialization

  • DVT-17695 Missing UNELABORATED_PACKAGE warning for imported package in unelaborated module

22.1.18 (15 June 2022)

CLEANUP

  • vscode-843 Move trace log into a new console

  • vscode-893 Move server log and client log into separated consoles

Enhancements

  • vscode-728 Show server restart pop-up when changing .ls file

  • vscode-794 Periodically clean obsolete Language Server log files

  • vscode-838 Show server restart pop-up when changing server relevant preferences

Bugfixes

  • DVT-17671 False references in procedure calls for same named enum literals in a scope

22.1.17 (8 June 2022)

Features

  • vscode-826 Add file decorators for compiled/encrypted/skipped files

Bugfixes

  • vscode-701 Sometimes "Open Design Breadcrumb Instance" selection is wrong

  • vscode-740 Design Breadcrumb: Wrong updates when opening instances from Design Hierarchy

  • vscode-741 Design Breadcrumb: "Select Other Design Breadcrumb Instance" command has no effect when the active editor contains a schematic diagram

  • vscode-801 Design Breadcrumb: Wrong updates when triggering 'Open Design Breadcrumb Instance'

  • vscode-828 Design Breadcrumb: Wrong updates when double clicking on a port from Design Hierarchy

  • vscode-837 Design Breadcrumb Navigation doesn't work if the current editor contains a design diagram

  • vscode-868 Sometimes "Jump to Matching Pair" command triggers unnecessary scroll

  • vscode-897 Sometimes "dvt_ls.bat is not recognized as an internal or external command, operable program or batch file" error is thrown on Windows

  • DVT-17569 False AMBIGUOUS_EXPRESSION for function with optional argument

22.1.16 (31 May 2022)

Features

  • vscode-841 Ability to pass build configuration arguments to dvt_code.sh and dvt_ls.sh using -f, -F, or -name.build args

Enhancements

  • vscode-845 Show pop-up to disable nature when only one language license is available

Bugfixes

  • vscode-859 Rebuild should not be stopped when the provided compile waivers file is invalid

  • vscode-875 Extension doesn't work over Remote-SSH when using VS Code Insiders

  • vscode-876 Report an Issue gets stuck in "Collecting data..." when using VS Code Insiders

  • vscode-877 Prompt for selecting the project nature is not always displayed when creating a DVT project starting from an empty directory

  • vscode-879 Prompt for selecting the project nature displays wrong information when specifying the -lang flag to dvt_code.sh

  • vscode-881 Unable to start Language Server if the project path contains whitespaces

  • DVT-17043 Packages imported in unelaborated package are considered elaborated

  • DVT-17577 NullPointerException thrown in type conversion semantic check

  • DVT-17605 Search for references on enum literal definition does not work when another enum literal with the same name is defined in the enclosing scope

22.1.15 (18 May 2022)

Bugfixes

  • vscode-872 Extension doesn't work over Remote-SSH throwing "Maximum call stack size exceeded" error

22.1.14 (17 May 2022)

Performance

  • DVT-17563 Improve performance of semantic checks in the unelaborated design

CLEANUP

  • vscode-870 Change the id of DVT Themes to match their names

Enhancements

  • vscode-722 Add -map support for dvt_code.sh and dvt_ls.sh

  • vscode-768 Ability to reuse the current window when using dvt_code.sh from embedded terminal

Bugfixes

  • vscode-804 A new Language Server is always started regardless the value of the DVT.languageServer.selection

  • vscode-863 Unable to start Language Server when the value of DVT.languageServer.launchCommand preference contains single quotes

  • DVT-17493 Incorrect UNELABORATED_MODULE warning after incremental elaboration for bound module

22.1.13 (9 May 2022)

DEPRECATED

  • DVT-17543 Deprecate dvt_elaboration_expression_operator_control build directive

Enhancements

  • vscode-761 Ability to see to how many problems a compile waiver was applied in the dvt_build.log file

  • DVT-17445 Rework parameter string values for vhdl arrays

  • DVT-17486 Build log/output should be consistent across tools

  • DVT-17492 Ability to skip elaboration of instances based on path pattern

  • DVT-17536 Change +dvt_elaboration_skip_modules directive to accept simple pattern

  • DVT-17554 Change dvt_disable_unelaborated_package_constants directive name to be in line with other similar directives

22.1.12 (3 May 2022)

REMOVED

  • vscode-839 Remove DVT.textEditor.highlight.enableSemanticHighlight preferences

Enhancements

  • vscode-759 Enhance highlight in VS Code predefined themes

  • vscode-831 Add command for jumping to pair bracket or to matching begin/end block

  • vscode-840 Enhance DVT Light and DVT Dark themes

  • DVT-17263 Add support for procedure output argument update in evaluation

Bugfixes

  • vscode-785 DVT Light Theme: Input boxes from the preferences page don't have borders

  • vscode-848 Running dvt_ls script without "-build" argument results sometimes in wrong nature detection

22.1.11 (18 April 2022)

CLEANUP

  • DVT-17451 Change predefined project 'usb_sim_model' library name to valid identifier

Enhancements

  • DVT-15518 Add support for to_stdulogicvector evaluation function in std_logic_1164

Bugfixes

  • vscode-824 Sometimes Language Server becomes unreachable after expanding its entry in Language Servers View

22.1.10 (12 April 2022)

Enhancements

  • vscode-807 Show version in Diagnostics View

Bugfixes

  • vscode-666 Sometimes views become empty after moving them in UI

  • vscode-671 Language Servers View: Client info should become empty after disconnecting from the server

  • vscode-753 Report an Issue: Remote host settings are not collected when using Remote-SSH

  • vscode-754 Do not apply automatic transformation of characters in comments

  • vscode-788 Design Hierarchy View: Sometimes context menu is not entirely visible in ports panel

  • vscode-800 Sometimes "Show Diagram" command displays a blank editor

  • vscode-813 Arrows from Compile Order / Design Hierarchy / Verification Hierarchy View are not rendered correctly in VS Code 1.66

  • vscode-818 Sometimes "'common.bat' is not recognized as an internal or external command, operable program or batch file" error is thrown on Windows

  • DVT-17452 Diagram generation fails when triggered on a component's name

  • DVT-17461 ConcurrentModificationException thrown sometimes at elaboration in a mixed design when a component binds to module with implicit signals

  • DVT-17471 Do not show BuildCancelException after canceling the elaboration

22.1.9 (30 March 2022)

Enhancements

  • DVT-17404 Ability to stop elaboration at a certain module or entity based on file path

Bugfixes

  • vscode-749 Some comments from build configuration files are not properly highlighted

  • vscode-755 Task tags are not highlighted in VHDL files

  • vscode-774 When disconnecting from an embedded Language Server, the server doesn't close immediately

  • vscode-777 Design Breadcrumb: "Select Other Design Breadcrumb Instance" doesn't work

  • vscode-778 NullPointerException thrown when invoking formatting on a build configuration file

  • vscode-779 "DVT: Change Languages..." command does not restart the Language Server with the new languages set

  • vscode-780 "DVT LS registry file could not be created!" warning is thrown in Log Console

  • vscode-783 Unable to start Language Server if the extensions-dir contains whitespaces

  • vscode-784 Sometimes "mkdir: can't create directory '"C:/': " error is thrown on Windows

  • vscode-786 Output of Custom Scripts run through dvt.custom.script command cannot be passed to other tasks

  • vscode-787 "DVT: Copy All Diagnostics" command is visible when the extension is not active

22.1.8 (15 March 2022)

Features

  • vscode-730 Ability to run DVT Custom Scripts as tasks, at startup and using dvt.custom.script command

  • vscode-744 Ability to push DVT environment variables to terminal and tasks

  • DVT-17389 License: Add support for inline string license sources

  • DVT-17390 License: Add support for platform independent license source separator &

  • DVT-17391 License: Add support for using a local license by multiple applications at the same time under the same user

Enhancements

  • vscode-706 Ability to trigger Override Methods command from Content Assist

  • vscode-715 Ability to configure the "name" and "local" arguments in the <build_name>.ls file

  • vscode-734 Ability to print the language server version using dvt_ls.sh version

  • vscode-772 Add extension scripts to integrated terminal's PATH

  • vscode-773 Point dvt_code.sh to running VS Code / VSCodium binary when running through integrated terminal

  • DVT-17345 Limit maximum number of problems reported in the build log

  • DVT-17373 Change dvt_elaboration_loop_block_cutoff directive to elaborate at most the number specified of loop instances

  • DVT-17392 License: Send to the license server the client PID instead of the unused and dummy display value

Bugfixes

  • vscode-752 Content Assist: Generate setters/getters proposals are not displayed if the prefix is preceded by tabs

  • vscode-760 Waive error results in VS Code reload when using Remote-SSH

  • vscode-762 Extension should not be activated if the workspace folder is not a valid DVT project

  • vscode-767 A Language Server is started for a project found in the workspace state even when opening an empty VS Code

  • DVT-17393 License: Fixed custom port support in local license sources

22.1.7 (2 March 2022)

Bugfixes

  • vscode-743 Update getting started predefined projects

  • vscode-750 Silently pass through the dvt_code.sh prerequisites checks when ldconfig is missing

  • vscode-751 Sometimes the Language Server doesn't start on Windows throwing a PowerShell error about the "buffersize"

  • DVT-17313 FSM Diagrams: Diagram is not generated in some cases when a next variable is used

22.1.6 (23 February 2022)

Performance

  • DVT-17284 Improve elaboration performance for instances with many port connections

Features

  • vscode-710 Add settings to set environment variables visible to extension and to the extension started Language Servers

  • DVT-17312 Ability to relocate $HOME/.dvt using DVT_USER_DIR environment variable

Enhancements

  • DVT-17298 Add option to speed up elaboration in some cases by allowing more working memory

Bugfixes

  • vscode-682 Verification Hierarchy View: Pressing Top/Bottom buttons after commenting instance results in an empty view

  • vscode-684 Verification Hierarchy View: Sometimes GUI freezes after clearing the search bar

  • vscode-689 Ability to generate Wavedrom diagrams on macOS Apple Silicon and Linux ARM64

  • vscode-711 Open a Predefined Project: Collect projects from locations defined using DVT_PREDEFINED_PROJECTS_EXT_* env vars

  • vscode-714 In some cases the wrong build name is saved in the registry leading to a "ResponseErrorException: InitializeParams.initializationOptions.buildConfiguration doesn't match the language server build configuration" when connecting to that Language Server

  • vscode-717 Move the registry lock file from temp folder to the registry folder to avoid conflicts

  • DVT-17266 Refactor Rename of function name does not affect end label

  • DVT-17277 Incorrect actual value for package constant after incrementally changing its value

  • DVT-17279 Package constant UNRESOLVED_PARAMETER_VALUE warning disappears after incremental elaboration

22.1.5 (16 February 2022)

Bugfixes

  • vscode-702 Sometimes submenus from context menu are not entirely visible

22.1.4 (8 February 2022)

Enhancements

  • DVT-17028 Improve elaboration tooltip for parameters with no actual value

  • DVT-17209 Show LM_PROJECT value in the license log

Bugfixes

  • vscode-678 Design Breadcrumb is not working on Windows

  • vscode-680 URISyntaxException thrown on Windows

  • vscode-703 Highlight does not work for any other syntax except SystemVerilog and VHDL when using DVT color themes

  • DVT-17181 No actual value displayed for changed parameter name after incremental adaptive elaboration

22.1.3 (31 January 2022)

Bugfixes

  • vscode-676 Quick Fix: Add Port/Parameter doesn't work across languages

  • vscode-677 NullPointerException thrown when triggering hyperlinks on predefined element

  • vscode-687 Design Breadcrumb is not working if a definition hyperlink is triggered on an invalid element

  • vscode-693 Sometimes language detection does not work and a NullPointerException is thrown when -lang is not specified

22.1.2 (26 January 2022)

Bugfixes

  • vscode-662 Diagrams: Depth preferences are sometimes wrongfully marked as disabled

  • vscode-663 Design Diagrams: Depth preference is wrongfully set in the interface

  • vscode-672 "Show Design Hierarchy" command triggers an error if the DVT activity wasn't focused before

  • vscode-674 Diagrams: Filters are not preserved when navigating through the diagram using the Design Breadcrumb

  • vscode-675 Wrong element indentation in view when using "Show Design Hierarchy" command on a non-top element

  • vscode-690 "Enable DVT" command throws exception when no workspace folder is opened

  • vscode-692 Some scripts are not working correctly when ANSI colors are always set for some system commands

22.1.1 (18 January 2022)

Features

  • DVT-2463 & DVT-8579 & DVT-13533 Show the actual value of a parameter in its tooltip

  • DVT-14593 Trigger warning for unelaborated module/entity

  • DVT-14628 Show number of bits for value parameters when elaborated

  • DVT-14653 Show the actual value for an elaborated package constant

  • DVT-16742 Trigger warning for unelaborated package

  • DVT-17078 Changed default to true for the +dvt_enable_elaboration directive

Enhancements

  • vscode-638 Code Actions: Prefill the direction and width in Add Port QuickPick

  • vscode-654 Split self contained extension into multiple platform specific extensions

  • vscode-660 Ability to customize the number of diagnostics shown in Problems View

  • DVT-2513 Show module parameters in Design Hierarchy Ports View

  • DVT-4773 Generate design diagram based on evaluated expressions in generate blocks

  • DVT-6020 The "Show Design Hierarchy" action should be aware of the current design breadcrumb

  • DVT-8936 & DVT-10066 Enhance multiple tops elaboration

  • DVT-11383 Trigger warning for generic that has no actual associated with it

  • DVT-12633 Trigger port errors between a component and its entity binding

  • DVT-12697 Design Breadcrumb should be cursor sensitive

  • DVT-13034 Trigger elaboration warning for component with no entity binding

  • DVT-13381 Trigger error when specifying an architecture with a different name than the block configuration

  • DVT-14704 Ability to control elaboration steps

  • DVT-14809 Incremental elaboration should be performed only on changed designs

  • DVT-14930 Hyperlinks: Ability to jump to the assignment of a parameter

  • DVT-15209 Elaborate newly added design one level on editor open

  • DVT-17079 Ability to stop the elaboration of a certain cell (i.e. module, entity) based on name

  • DVT-17082 Add option to configure the cut-off number for elaborated loop blocks

  • DVT-17083 Trigger elaboration warnings for defective loop blocks

  • DVT-17084 Trigger error for invalid liblist when elaborating an instance

  • DVT-17085 Trigger elaboration error for configuration top with invalid design

  • DVT-17087 Trigger elaboration error for duplicate tops

  • DVT-17092 Trigger elaboration warning for unresolved parameter expression

  • DVT-17093 Trigger elaboration warning for unresolved enum name expression

  • DVT-17095 Trigger error for open override of a parameter with no default value

  • DVT-17096 Trigger elaboration warning for unresolved constant expression

  • DVT-17097 Trigger error for incomplete array aggregate used as parameter value

  • DVT-17098 Trigger error for duplicate association in aggregate used as parameter value

  • DVT-17099 Trigger error for out of bounds value in aggregate passed as parameter value

Bugfixes

  • vscode-596 Design Hierarchy View: Populate automatically when elaboration is on

  • vscode-597 Design Hierarchy View: Only the first top is shown when elaboration is on

  • vscode-618 Design Hierarchy View: Toggling elaboration results in an empty view

  • vscode-629 Focusing Output Panel clears the Design Breadcrumb for the current editor

  • vscode-634 Unable to use some commands from Command Palette when the Output Panel is focused

  • vscode-651 Diagrams: Sometimes preferences are wrongly marked as enabled/disabled

  • vscode-652 Code Actions: Refactoring proposals should be displayed only when invoking "Refactor..." command

  • vscode-657 "Go to definition" should jump to the implementation of the function instead of prototype

  • vscode-659 TypeError thrown by when using the diagrams

  • vscode-661 Sometimes diagrams are not rendered

  • vscode-664 Missing permissions for remote extension files when using Remote SSH

  • vscode-667 Compiled Files View: Populate automatically when connecting to a Language Server

  • DVT-14810 Update Design Hierarchy view at incremental for generate condition change

  • DVT-14833 False UNDECLARED_TOP error for VHDL design (entity, configuration) in mixed project

  • DVT-15495 No MISSING_PORT_CONNECTION warning when instantiating Verilog module in VHDL

  • DVT-16329 Subtype constraints are not evaluated when determining the type of a generic

  • DVT-16903 No references reported in SystemVerilog when searching for VHDL generics

21.1.53 (22 December 2021)

Enhancements

  • vscode-626 Improve UI for Extract to module / Move selection to file

  • vscode-607 Show commands in Command Palette only when the context is valid

Bugfixes

  • vscode-621 Design Diagrams: "Show Connections" does not uncheck "Hide unconnected ports" in preferences dialog

  • vscode-630 Diagrams are not generated if the cursor is placed at the end of an element name

  • vscode-631 Language Servers don't start on Windows

  • vscode-632 dvt_code.sh does not support -lang argument

  • vscode-637 Sometimes Outline View becomes empty after undoing a Code Action

21.1.52 (14 December 2021)

Features

  • vscode-127 Add support for VHDL