DVT e Language IDE User Guide
Rev. 24.1.5, 13 March 2024

5.1 Verification Breadcrumb

The Verification Breadcrumb Navigation Bar appears horizontally on top of source code editors and UVM Components Diagrams. It displays the path to the verification element (class or unit layer) starting from the verification top.

The verification hierarchy path is automatically tracked by DVT, for example when navigating from the Verification Hierarchy View to source code, when using hyperlinks, when generating diagrams and so on.

Editor: Click an instance to jump to the verification element definition.


Diagram: Click an instance to generate verification element diagram.
Click the arrow to the side of a verification element to see its child instances.


Filter the list of child instances using the quick search box.


Editor: Click a child instance to jump to verification element definition.


Diagram: Click a child instance to generate verification element diagram.
Hover an instance to see its type.
A verification element may have multiple instances within the project.


Click the Select Instance button to choose from available paths.
Right click on an instance to open its create call, open its field declaration, show the associated diagram or copy the hierarchy path

Note: When you open a file from the Project Explorer or Compile Order views and the file contains multiple verification elements, the breadcrumb navigation bar will show the path for the first verification element declared in the file. A pop-up notifies you about this.

Note: When you open a file from the Project Explorer or Compile Order views and multiple paths are found for the verification element from the file, the breadcrumb navigation bar will show the first path. A pop-up notifies you about this.