Verissimo SystemVerilog Testbench Linter User Guide
Rev. 24.1.5, 13 March 2024

Chapter 4. Compile Arguments

The compiler reads arguments from a file passed using the -cmd flag. The file may contain:

  • comments

  • directives in two forms:

    • +directive+arg1+arg2+

    • -directive arg1 arg2

  • top files. Anything that is not a directive or comment is regarded as path to a top file.

Note: Unknown directives are ignored. In general, tool-specific directives start with +dvt_. Unknown directives that start with this prefix are flagged with a warning.

Note: Several AMIQ tools take compile arguments in the same format, for example the DVT Eclipse IDE, hence the references to DVT in the scope of this chapter.

The tool parses each top file, following includes/imports as specified by the language. Some directives ( parsing directives) allow you to control how files are compiled based on their extension, for example using System Verilog 1800-2012 for *.sv, Verilog 2001 for *.v, VHDL 1076-2008 for *.vhdl and e Language 1647-2011 parser for *.e. The parsing directives are either generic or mode specific.

In general, the directives are similar with the arguments (or flags) that you would pass to any compiler/simulator.

An argument file may include other argument files and so on. The internal builder follows the included files as it encounters them (as if part of a continuous stream). Note that the way a file is included (for example with '-f' or '-F') has an influence on how paths inside the included files are interpreted. For more details see Including Other Argument Files.

To simplify the flow integration, the tool supports several Compatibility Modes. This capability allows you to reuse existing arguments or argument files that you already use for a particular simulator invocation.