DVT VHDL IDE User Guide
Rev. 24.1.5, 13 March 2024

4.7.2 gcc Compatibility Mode

The +dvt_init+gcc directive resets the builder to the gcc default state.

File Extension to Language Syntax Mapping

Syntax Extensions
C/C++.c, .i, .ii, .h, .cc, .cp, .cxx, .cpp, .CPP, .c++, .C, .hh, .H, .hp, .hxx, .hpp, .HPP, .h++, .tcc

Language Syntax for Unmapped Extensions: Skip unmapped extensions.

When you compile C/C++ code using gcc rather than the simulator, for each gcc invocation it is recommended to use a +dvt_init+gcc directive followed by the gcc command line arguments.