DVT VHDL IDE User Guide
Rev. 24.1.5, 13 March 2024

Chapter 36. What is New?

#.# - major version - Includes new features, major enhancements, architectural changes, bug fixes. 
Since 2015, a major version is named in sync with the release year, for example the first major version of 2015 is 15.1.
NOTE: When switching to a new major version it is recommended to start in a new workspace.

#.#.# - minor version - Includes bug fixes, minor enhancements.

24.1.5 (13 March 2024)

Bugfixes

  • DVT-20101 Chromium Browser: In specific scenarios, when exiting Eclipse, the UI shuts down but the underlying process keeps running

  • DVT-20201 Code Formatting: Do not indent the "@formatter:on" pragma

  • DVT-20230 DVT CLI: Lazy Bring up Resources doesn't work for projects with CPP nature

24.1.4 (28 February 2024)

CLEANUP

  • DVT-20073 License: Replaced the license events database with a capped human readable log

Bugfixes

  • DVT-18176 Build auto-config: In specific scenarios file paths get truncated

  • DVT-19034 Design Breadcrumb: No navigation bar when using the 'Open Instance' action on a drop-down element in Diagram Editors

  • DVT-19905 Compile Waivers: Specifying compile waivers files from outside the project using relative paths breaks build configuration parsing

  • DVT-19952 Compile Waivers: No hyperlink for compile waivers files specified in the build configuration using relative paths

  • DVT-20025 Sometimes hovering build configuration directives results in empty tooltip

  • DVT-20106 Compile Waivers: Specifying compile waivers files using undefined environment variables breaks build configuration parsing

  • DVT-20130 DVT Debugger: Breakpoints cannot be set

  • DVT-20148 Do not trigger incremental build for opened files before project restore

24.1.3 (13 February 2024)

Performance

  • DVT-20067 Improved UI responsiveness in sessions running for a long time

Enhancements

  • DVT-14487 License: Show the pop-up notification until it's dismissed by the user or until a license is acquired

  • DVT-19032 License: Show client information (pid, user, host, hostid) in the log header

  • DVT-19753 Automatically generate thread dumps when incremental build takes longer than expected

  • DVT-19817 Report an Issue: Ability to select/deselect all attachments

  • DVT-19904 Quartus auto-config: Add support for QSYS_FILE option

Bugfixes

  • DVT-18729 Build config: Environment variables are not replaced for arguments of +dvt_db_location

  • DVT-19865 False TYPE_MISMATCH for entity with same name as character enum value

  • DVT-19993 Breadcrumb: "No elaborated design elements in file" wrongly shows up in specific scenarios

  • DVT-20014 Compile waivers: When located directly under the compilation root, waivers are not applied in batch mode

  • DVT-20033 dvt_plugin_installer.sh hangs when stdin is not a tty

  • DVT-20054 License: In specific scenarios, connectivity issues are not detected immediatly

  • DVT-20055 License: In specific scenarios, license server response delays can cause UI slowness

24.1.1 (18 January 2024)

Starting with the 24.1.1 major release our products are no longer compatible with old FlexLM license servers (<11.19).

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 23.#.# releases for early adopters.

REMOVED

  • DVT-19867 License: Removed support for FlexLM tools and dvtlmd daemon versions <11.19

  • DVT-19908 Remove support for +dvt_disable_expr_eval_vhdl directive

Enhancements

  • DVT-14587 Ability to disable automatic compilation of non-top-files

  • DVT-17984 Support for setting a package as elaboration top

  • DVT-19684 Disable incremental compilation timeouts when non-blocking incremental build is enabled

  • DVT-19753 Automatically generate thread dumps when incremental build takes longer than expected and non-blocking incremental build is enabled

  • DVT-19815 Print reports generation time to verissimo.sh and dvt_build.sh output

  • DVT-19909 Non-blocking incremental build is enabled by default

Bugfixes

  • DVT-19825 Custom Dialog: Prevent infinite recursion when project contains circular symlinks

  • DVT-19847 Range attribute is not resolved for generics

  • DVT-19856 In specific scenarios +dvt_ext_map does not work

  • DVT-19863 Sometimes refresh is not done properly following a run configuration launch

23.2.30 (21 December 2023)

Bugfixes

  • DVT-19853 DVT CLI: Creating a project in an extremely large file system may trigger a second full build in specific scenarios

23.2.29 (13 December 2023)

Enhancements

  • DVT-18887 Code Formatting: Ability to place each parameter on a new line only if the number of parameters exceeds a certain value

  • DVT-19505 Performance Exploration: Ability to run on VHDL files

Bugfixes

  • DVT-18702 Build config: -L shared library search dir should only be interpreted in dvt.gcc and xcelium.xrun compatibility modes

  • DVT-19123 Outline View: No update when opening a file from the Search View

  • DVT-19423 Code Formatting: When more parameters per line is set, code gets merged with susequent single line comment in specific scenarios

  • DVT-19424 Code Formatting: When more parameters per line is set, maximum line width is exceeded in specific scenarios

  • DVT-19774 Compile Order View: Preserve build configuration order for argument files and +dvt_init invocations

  • DVT-19799 Chromium Browser: Sometimes a deadlock is preventing the JVM shutdown

23.2.28 (28 November 2023)

Bugfixes

  • DVT-19638 Quartus auto-config: New project wizard starts in an error state when pointed to a Quartus project

  • DVT-19749 Compile Waivers: "Create waiver" wrongly computes waiver file candidates when the project is not selected

  • DVT-19755 Quartus auto-config: qip files located outside project directory are not handled correctly

  • DVT-19759 The same function evaluation may have inconsistent results for instance parameters elaborated in parallel

  • DVT-19761 Quartus auto-config: Disable file sorting in the generated build configuration

23.2.27 (17 November 2023)

Bugfixes

  • DVT-19740 Compile Waivers: Can't create new waiver from UI when DVT_USER_SETTINGS and DVT_COMMON_SETTINGS are not set

23.2.26 (14 November 2023)

Features

  • DVT-4730 New Compile Order view mode presenting the argument file inclusion tree and +dvt_init invocations

Enhancements

  • DVT-8420 Compile Waivers: Ability to select the waiver file when creating a new waiver from a problem

  • DVT-18305 & DVT-11641 Ability to specify compilation waiver files in the build configuration

  • DVT-19625 Quartus auto-config: Automatically locate the Quartus .qip files required for compilation of binary .ip files

  • DVT-19641 Quartus auto-config: Improve debugging capabilities

  • DVT-19713 Improve adaptive incremental elaboration when many designs are changed

Bugfixes

  • DVT-19517 Editor Notification: Changing the active build configuration file wrongly triggers the database out-of-sync notification

  • DVT-19572 Design Breadcrumb: Wrong updates when generating top module diagram in specific scenarios

  • DVT-19624 Quartus auto-config: Quartus Prime Pro 23.2 .qip format not recognized

  • DVT-19628 & DVT-19636 Chromium browser: In some configurations content is displayed in a new window instead of the application window

  • DVT-19640 Editor Notification: Changing the Design Hierarchy Top wrongly triggers the database out-of-sync notification

  • DVT-19688 Design Diagrams: Show Diagram action not working on unelaborated entity with architecture

  • DVT-19706 Chromium browser: Cannot open local files using the Eclipse Internal Browser View

23.2.25 (1 November 2023)

Performance

  • DVT-19219 Automatically disable word wrap in editors and Console View when content gets too large

Bugfixes

  • DVT-17562 Design Breadcrumb: Should be available while a build is in progress for another project

  • DVT-19283 DVT CLI: Improve performance for Lazy Bring up Resources with -include auto

  • DVT-19506 Filesystem Breadcrumb sometimes causes a StackOverflowError

23.2.24 (17 October 2023)

Bugfixes

  • DVT-19540 Editor Notification: Full elaboration to fix database out-of-sync triggers a full build instead

  • DVT-19542 Incorrect architecture binding label name shown in Design Hierarchy for instance resolved through VHDL configuration

  • DVT-19578 Editor Notification: Inaccurate message when too many files changed inhibit the incremental build

  • DVT-19582 Prevent memory leaks when closing diagrams

  • DVT-19587 Diagram timeout message might not be shown in certain conditions

  • DVT-19594 Editor Notification: Wrongly triggered when the project restore operation finishes successfully

23.2.23 (9 October 2023)

HIGHLIGHTS

  • Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19

  • New bundled Chromium browser engine used by default on all operating systems

CLEANUP

  • DVT-19560 License: Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19

Features

  • DVT-19507 New bundled Chromium browser engine used by default on all operating systems

Bugfixes

  • DVT-18427 Bit Field Diagrams: Sometimes zoom controls overlap with the diagrams

  • DVT-18800 Bit Field Diagrams: Sometimes toggle layout button does not work on Linux

  • DVT-18863 Bit Field Diagrams: The table is not visible on Windows

23.1.22 (22 September 2023)

Enhancements

  • DVT-15757 Code Formatting: Add vertical alignment pattern for record declarations

Bugfixes

  • DVT-19385 False ILLEGAL_CONSTRAINT error for non-composite types

  • DVT-19426 Inconsistent behavior when resolving relative paths with parent directory segments crossing a directory symlink during build config parsing and file parsing

23.1.20 (6 September 2023)

Features

  • DVT-12661 Code Formatting: Add preference to compact consecutive empty lines

Enhancements

  • DVT-18039 Editor Notification: Warn a full build need when more than 50 files got changed from the last compilation

Bugfixes

  • DVT-18131 Do not print carriage return after reporting problems in the Console View on Linux distros

  • DVT-19290 Cancelling a restore operation wrongly updates the Build Console

23.1.19 (25 August 2023)

Features

  • DVT-15678 Ability to show including file for filelists

Enhancements

  • DVT-18494 & DVT-18495 Editor Notification: Database out of sync notification should be present in diagram editors

  • DVT-19173 Memory Monitor: "Display Memory Warning" preference should only affect the warning pop-up

  • DVT-19174 Memory Monitor: Close the warning pop-up when reaching an error state

Bugfixes

  • DVT-14302 SIGNAL_NEVER_* errors are not reported for signals declared in block statements

  • DVT-17765 Code Formatting: Tab size preference change is not taken into account until platform restart

  • DVT-18801 Bit Field Diagrams: Ability to extract the table in a text format

  • DVT-19197 Inspect View is not updating when selecting a view nodes using the keyboard arrows

  • DVT-19230 Design Breadcrumb: Search in drop-down menu broken after previously searching for a nonexistent element

  • DVT-19271 dvt_os_information.sh does not work on Debian Linux due to non-portable uname command

23.1.18 (2 August 2023)

Performance

  • DVT-18954 & DVT-18296 Improve UX when double clicking on a parameter in the Design Hierarchy View Members Panel

Enhancements

  • DVT-16506 Specify preferences.ini and settings.json keys in Code Formatting documentation

Bugfixes

  • DVT-16977 Code Formatting: Wrong indentation of imbricated loops

  • DVT-17115 Editor Notification: Report active build configuration changes from files included with -f

  • DVT-19131 Build config: Multiple +dvt_skip_compile+not directives result in no files compiled

  • DVT-19140 Cancel ongoing incremental builds sometimes generates "Waiting operation" artefacts

  • DVT-19142 False UNDEFINED_SUBPROGRAM error for logical operators used on array of boolean

23.1.16 (18 July 2023)

Bugfixes

  • DVT-18416 The DVT-Settings project is continuously re-created when DVT_COMMON_SETTINGS points to a Windows UNC path

  • DVT-18797 Report an Issue: Cannot generate a report after cancelling a previous generation

  • DVT-19103 The Specador HTML generation process crashes after the preferences dialog is closed

23.1.15 (7 July 2023)

Enhancements

  • DVT-19061 Bit Field Diagrams: Add support for VHDL unconstrained types

  • DVT-19085 Bit Field Diagrams: Ability to see the full name of a field in the table on hover

Bugfixes

  • DVT-19020 Bit Field Diagrams: Fix the order of VHDL array elements in the table

  • DVT-19077 Support for evaluation of ceil and log2 functions defined in the VHDL 2002 ieee.MATH_REAL package

23.1.14 (22 June 2023)

Features

  • DVT-18696 Build config: Add support for questa.qrun compatibility mode

  • DVT-18884 Ability to cancel ongoing incremental builds when a new one is triggered

Bugfixes

  • DVT-18197 Outline View: Category and alphabetic sorting interfere with quick search results

  • DVT-19014 Symlinks in a DVT Project Template are not copied if the target cotains template identifiers

  • DVT-19015 Adding a symlink from a project template fails if the symlink target already exists in the target project

  • DVT-19038 An error is thrown when using Rename Port across the Design Hierarchy from right click menu

23.1.13 (7 June 2023)

Bugfixes

  • DVT-18980 Console View: Clicking on a hyperlink doesn't work while the console is still updating

  • DVT-18988 False DUPLICATE_VARIABLE for extended identifier signals with same case-insensitive name

  • DVT-19005 Evaluate identifier list with initial value

  • DVT-19030 No short circuit logic when evaluating boolean logical and/or

  • DVT-19031 Incorrect evaluation of argument attributes with an array select prefix

  • DVT-19033 Design Breadcrumb: Navigating down the hierarchy in Diagram Editors makes the navigation bar disappear

23.1.12 (23 May 2023)

Enhancements

  • DVT-18983 Detect matches preceded/succeeded by "\n" string when performing text searches with whole word activated

Bugfixes

  • DVT-17454 Incorrect string value override when using +dvt_defparam build config directive

  • DVT-18745 Throw semantic error for VHDL 2008 unary operators not supported in VHDL 1993/2002 syntax

  • DVT-18971 Show references in file wrongly shows all the usages from the project

23.1.11 (9 May 2023)

Features

  • DVT-18965 DVT CLI: Ability to create projects which allow bringing up file resources on demand

Enhancements

  • DVT-18433 DVT CLI: Ability to always include first level directories when filesystem check fails

  • DVT-18666 Build Console should report when a full build was canceled

  • DVT-18667 Editor Notification: Report database out of sync when a full build was cancelled

Bugfixes

  • DVT-18825 Editor Notification: Updating database for a project makes the notification disappear from all other opened projects

  • DVT-18901 False INVALID_ATTRIBUTE EVENT error for signal prefix of alias type

  • DVT-18902 False AMBIGUOUS_SUBPROGRAM error for NUMERIC_STD.TO_INTEGER predefined function

  • DVT-18906 Incorrect "Open Declaration" hyperlink when type has the same name with the enclosing package

  • DVT-18924 DVT CLI: -include auto should resolve symbolic links to the project

  • DVT-18925 DVT CLI: Creating a project with filters and custom name will rise an error

  • DVT-18960 DVT CLI: Creating a project from the full path of a symbolic link doesn't resolve the link

23.1.10 (25 April 2023)

Enhancements

  • DVT-18721 Trigger warning for unconnected inout/output ports in component instantiation

  • DVT-18892 Promote MISSING_PORT_CONNECTION warning to error for input ports

Bugfixes

  • DVT-18904 In ius.irun/xcelium.xrun compatibility modes -v200x should enable VHDL-2008 support

23.1.9 (12 April 2023)

Enhancements

  • DVT-18699 New semantic error for self-reference in default value specification

  • DVT-18767 Memory Monitor: Avoid false warnings in small projects

Bugfixes

  • DVT-18764 Sometimes Smart Logs don't get applied properly

23.1.8 (29 March 2023)

Performance

  • DVT-18581 Improve hyperlink performance in very large projects

Enhancements

  • DVT-18827 Bit Field Diagrams: Remove unnecessary arrow indicator in single lane mode

  • DVT-18828 Bit Field Diagrams: Legend items are cropped when zooming

Bugfixes

  • DVT-18730 False TYPE_MISMATCH for case-when identifier when it references both an enumeration literal and an entity

  • DVT-18743 Compile Order View: Inconsistent compile index between Compile Order and Console when having skipped files

  • DVT-18799 Bit Field Diagrams: Sometimes saving the diagram from Inspect View does not work

23.1.7 (15 March 2023)

Features

  • DVT-18368 Bit Field Diagrams: Add support for VHDL records

Bugfixes

  • DVT-18690 Cannot evaluate struct aggregate when formal part name differs by lower/upper case from record member name

  • DVT-18703 Schematic diagrams: Connections made via arrays of interface instances are not shown

  • DVT-18726 Memory Monitor: Sometimes the project name is wrongly displayed

  • DVT-18732 Design Breadcrumb: 'Open Instance' action is not working

  • DVT-18736 Block constructs wrongly highlighted as unelaborated

  • DVT-18737 Design Breadcrumb: Instances under generate blocks not showing up in drop-down menu

  • DVT-18756 Design Breadcrumb: 'Reveal in Design Hierarchy' action not working when triggered from the drop-down menu

  • DVT-18758 Design Breadcrumb: Wrong 'Copy Hierarchy Path' output when triggered from the drop-down menu

  • DVT-18765 Declare Signal Quick Fix: Wrong declaration when the last signal has a multi-line default value

23.1.6 (1 March 2023)

Enhancements

  • DVT-18724 The dvt_os_infomation.sh script should check that the coreutils timeout binary is available

Bugfixes

  • DVT-18276 On rare occasions, when using verbatim tooltips, the computing content message is not cleared

  • DVT-18657 False TYPE_MISMATCH error for enum type loop index used in case expression

  • DVT-18673 The project rebuild should not be launched when choosing "Cancel" option from the unsaved files wizard

  • DVT-18688 Report an Issue: Update e-mail validator to allow lengthy top level domains

  • DVT-18691 Avoid using timeout -k in dvt_os_information.sh because of compatibility issues with older Linux distros

  • DVT-18700 Wrong 'Show instances' label in DVT Views

23.1.5 (21 February 2023)

Enhancements

  • DVT-18271 Inspect View: Add a computing message when generating diagrams

Bugfixes

  • DVT-15324 False INVALID_ATTRIBUTE when chaining attribute accesses

  • DVT-18299 Compile Order View: View label is not updated when clearing the search box

  • DVT-18618 In rare circumstances the licenses is not checked-in when running in GUI mode

  • DVT-18637 WAVEDROM_FILE pragma does not work with full paths

  • DVT-18643 Natural Docs: StackOverflowException thrown when using bullet lists

23.1.4 (8 February 2023)

DEPRECATED

  • DVT-18604 License: Support for FlexLM tools and dvtlmd daemon <11.19 is deprecated and will be removed in future versions

Features

  • DVT-18605 License: New client implementation based on FlexLM 11.19 (disabled by default)

Enhancements

  • DVT-18582 Cannot evaluate attributes of non-enum scalar types

  • DVT-18585 Wrong formatting for definition lists with long strings for Natural Docs comment syntax

Bugfixes

  • DVT-18240 License: In some cases the license client leaks a large number of CLOSE_WAIT sockets due to a FlexLM bug

  • DVT-18304 DVT CLI: Apply user-specified resource filters before performing the filesystem check

  • DVT-18588 No UNELABORATED_PACKAGE warning triggered when multiple packages are named the same in different libraries

  • DVT-18603 License: In some cases when multiple license sources are set and no license is available, the license client is repeatedly queuing and dequeuing for a license

  • DVT-18609 Power format: Power domain elaboration doesn't work for VHDL top entities

23.1.3 (31 January 2023)

Bugfixes

  • DVT-18573 Improve definition lists formatting for Natural Docs comment syntax

23.1.2 (25 January 2023)

Performance

  • DVT-18561 Improve performance of Open Declaration hyperlink

Enhancements

  • DVT-18488 Report an Issue: Ability to 'Send' or 'Save as Zip' without filling the issue description

  • DVT-18560 Add disable_browser option for dvt.sh and dvt_cli.sh

Bugfixes

  • DVT-18089 Report an Issue: 'Save as Zip' is disabled when the attached files size exceeds 25MB

  • DVT-18489 Report an Issue: 'Add...' button disappears when resizing the dialog

  • DVT-18558 Add timeout for SWT automatic configuration in dvt.sh and dvt_cli.sh

23.1.1 (19 January 2023)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 22.1.# hotfix releases for early adopters.

  • Improved performance of parsing, incremental compilation, design elaboration, semantic checking, searching in structured views

  • Improved design elaboration tuning for scalability, including blackboxing

  • Improved memory consumption of design elaboration, editors and structured views

  • New memory starvation handling mechanism

  • Improved profiling capabilities

  • 10 new semantic checks

  • Improved parameter evaluation during design elaboration

  • Improved parameter value display in tooltips and Inspect View

  • New distros based on Eclipse 4.24 with support for aarch64

Performance

  • DVT-18519 Reduce memory footprint during elaboration

REMOVED

  • DVT-18516 Removed +dvt_enable_elaboration directive

Enhancements

  • DVT-17273 Ability to cancel DVT Resource Filters application

  • DVT-18453 Support evaluation of 'POS attribute for enums

  • DVT-18487 Reduce memory footprint of elaborated design with many generates blocks

  • DVT-18518 New Init from SimLog timeout mechanism

  • DVT-18531 Improve Init from SimLog cancel mechanism

Bugfixes

  • DVT-17767 Sometimes user preferences are not applied in corrupted workspaces

  • DVT-17869 Types View: Wrong message is displayed when searching for non-existent members

  • DVT-18445 Wrong value for attribute of non-array scalar type

  • DVT-18459 False UNDECLARED_CONFIGURATION error for SystemVerilog configuration referenced in VHDL configuration

  • DVT-18460 False UNELABORATED_ENTITY warning after incremental adaptive elaboration of component instance in some cases

  • DVT-18480 Aggregate value not evaluated for record context with member constraints

  • DVT-18517 Init from SimLog: Extracted compilation arguments are truncated for lengthy inputs

  • DVT-18529 Ability to cancel the "Resource out of sync" dialog during search operation

22.1.39 (20 December 2022)

Bugfixes

  • DVT-18468 Incorrect method evaluation during the elaboration of multiple tops in some cases

Enhancements

  • DVT-18175 Design Breadcrumb: Reveal in Design Hierarchy on a filtered node fails silently

22.1.38 (14 December 2022)

Bugfixes

  • DVT-18064 & DVT-18015 Filesystem breadcrumb not updated when opening a search result

  • DVT-18350 & DVT-18351 False UNDEFINED_SUBPROGRAM error for user defined range type

  • DVT-18373 Wrong MISSING_CONSTRAINT when declaring multiple variables/signals with same datatype

Enhancements

  • DVT-18195 Support for evaluation of ceil and log2 functions defined in ieee.MATH_REAL package

  • DVT-18244 Add support for evaluation of predefined to_string functions

22.1.37 (6 December 2022)

Bugfixes

  • DVT-18125 Sometimes pasting from clipboard freezes the application while waiting for the source application to send clipboard contents

22.1.36 (22 November 2022)

Bugfixes

  • DVT-18352 False syntax error for empty bit string literal

  • DVT-18358 Missing UNELABORATED_MODULE warning after project restore

  • DVT-18359 Instance parameter values under generate blocks are not elaborated in some cases

Enhancements

  • DVT-18287 Change +dvt_elaboration_skip directives to exclude elaborated instances

  • DVT-18288 Add elaboration directives to black box instances

22.1.35 (17 November 2022)

Features

  • DVT-11769 Memory Monitor: Memory starvation handling mechanism

Bugfixes

  • DVT-18132 NullPointerException is thrown when undefined environment variables are used in +dvt_skip_compile

  • DVT-18151 False MISSING_CONSTRAINT for string variable inside record type

  • DVT-18322 False MISSING_CONSTRAINT when declaring variable of constrained record type

  • DVT-18326 False TYPE_MISMATCH when using attribute of an array select

22.1.34 (8 November 2022)

Bugfixes

  • DVT-11381 False UNDECLARED_IDENTIFIER error for hierarchical argument access in subprogram call

  • DVT-18212 False UNDECLARED_IDENTIFIER for enum value

  • DVT-18280 Package parameter value not computed when referencing another local parameter with package scope

22.1.32 (1 November 2022)

Performance

  • DVT-17481 Reduce memory needed during elaboration for design with many generates

Bugfixes

  • DVT-17800 False AMBIGUOUS_SUBPROGRAM when scope of declaration includes ambiguous functions in a context

  • DVT-18238 Inactive "Go through non-blocking assignment statements" trace preference incorrectly excludes signal assignments in VHDL processes

Enhancements

  • DVT-18264 Add dedicated build configuration directive for loop cutoff of function statements

22.1.31 (20 October 2022)

Bugfixes

  • DVT-18150 False AMBIGUOUS_SUBPROGRAM for procedure followed by array select

  • DVT-18155 False TYPE_MISMATCH when using 'RANGE attribute

  • DVT-18207 "Choose radix for parameter actual value" preference is hidden before full build

  • DVT-18211 DVT CLI: Ignored non-CPP project nature in CPP mixed language projects when the CDT plugin is unavailable

22.1.30 (11 October 2022)

REMOVED

  • DVT-18181 Removed Eclipse 4.19 distros

Bugfixes

  • DVT-18055 Custom Dialog widget enablers not working in Java 11 based distributions

  • DVT-18161 Do not throw SENSITIVITY_MISSING warning in scope inside local process function/procedure

  • DVT-18165 Custom Pragmas: Usages are searched for even when no pragma is defined

  • DVT-18171 Incorrect parameter value when assigned to a non-constant function

Enhancements

  • DVT-18172 dvt_elaboration_loop_block_cutoff should also limit for/while/repeat statements in function evaluations

22.1.28 (28 September 2022)

Bugfixes

  • DVT-17576 Theme Engine: Generate branches background color does not take into account the editor theme

  • DVT-17578 Wrong OUT_OF_BOUNDS_SELECT error thrown in aggregate

  • DVT-17579 Wrong UNDECLARED_IDENTIFIER when aliased object is an array select followed by member access

  • DVT-17584 Do not throw SENSITIVITY_MISSING inside function/procedure declared inside process

  • DVT-17775 False MISSING_CONSTRAINT when subtype is using open keyword

  • DVT-17968 Run Configurations ${selected_resource_loc} variable is not recognized for folders under DVT Auto-Linked

  • DVT-17971 External Builders: Sometimes Smart Logs don't get applied on Eclipse 4.24

  • DVT-17974 False ASSIGNMENT_NON_BLOCKING warning in sequential always block with event control error

  • DVT-17995 "Copy hierarchy path segment separator" preference not taken into account when the action is triggered from the breadcrumb

  • DVT-18050 +dvt_editor_association_override directive doesn't work on Eclipse 4.22 and 4.24

  • DVT-18109 The +dvt_set_directive_nof_args only works within the first +dvt_init section

  • DVT-18127 Thread Dump Collector: Fix broken zips caused by SIGINT signals

Enhancements

  • DVT-17409 Build config: -ccwarn directive should take one argument

  • DVT-17593 Build config: -denalipath directive should take one argument

  • DVT-17776 Build config: -dms_wreal_init directive should take one argument

  • DVT-17937 Build config: -max_always_prune should take one argument

22.1.27 (13 September 2022)

Performance

  • DVT-17444 Improve parameter text value computation for large arrays

Enhancements

  • DVT-17348 Sometimes numerous "widget not properly disposed" exceptions are logged

  • DVT-17893 Build config: Add predefined environment variable _XRUNROOT_ in xcelium.xrun compatibility mode

  • DVT-17938 Ability to customize the number of arguments for build config directives using +dvt_set_directive_nof_args

  • DVT-18042 Breadcrumb background is black on Windows in default DVT theme

22.1.26 (7 September 2022)

Bugfixes

  • DVT-17922 Settings management doesn't correctly merge keybindings

22.1.25 (30 August 2022)

Bugfixes

  • DVT-14659 Elaboration support for a configuration used inside another configuration with instance paths relative to the first

  • DVT-17967 Types View: Wrong members for C/C++ language

  • DVT-17977 Wrong evaluation of concatenation with left hand type conversion

Enhancements

  • DVT-17860 Automatically compress generated thread dumps

  • DVT-17986 Add preference to automatically copy custom.css and custom.js file to documentation

22.1.24 (22 August 2022)

Features

  • DVT-17728 New distros based on Eclipse 4.24 and Java GraalVM 17

Bugfixes

  • DVT-17927 Show Diagram on a port whose name contains a capital letter wrongly generates the Connections Diagram

  • DVT-17936 NoSuchMethodError is thrown in Eclipse 4.24

22.1.23 (16 August 2022)

CLEANUP

  • DVT-17912 Do not show BuildCancelException after canceling the elaboration in some cases

Bugfixes

  • DVT-15227 Cannot use range attribute on constrained port in a loop generate

  • DVT-17316 Downgrade pre-installed IndentGuite plugin in Eclipse 4.11 distros

  • DVT-17648 & DVT-17946 Outline view: Enter does not jump to element

  • DVT-17848 DVT Generic Run Configurations do not obey global preference to ask before launch when project has errors

Enhancements

  • DVT-15941 Set a limit for the number of incrementally adaptive elaborated instances

  • DVT-16448 Update Git plugin to the latest version and add LFS support plugin in Eclipse 4.19 and 4.22 distros

  • DVT-17022 Update Python plugin in Eclipse 4.19 and 4.22 distros

  • DVT-17678 Add folding range for #region/#endregion block

  • DVT-17736 Add preferences for FSM Diagrams in Export HTML Documentation Dialog

  • DVT-17744 Ability to set the preferences for default generation of FSM Diagrams

  • DVT-17853 Show Diagram on a port in Ports View should generate a connection diagram

  • DVT-17924 Rename Switch Between Editor Parts command to Switch Between Active Editors and move it under Navigate category

  • DVT-17928 Switch to the latest build of Java 11 in Eclipse 4.19 and 4.22 distros

  • DVT-17929 Update Bash and YAML plugins in Eclipse 4.19 and 4.22 distros

  • DVT-17934 Improve recurrence detection for instances under generate block during elaboration

  • DVT-17935 Add option to configure the maximum recurrence depth for instances under generates

22.1.22 (2 August 2022)

Performance

  • DVT-17836 Improve search operation performance in the Compile Order View

  • DVT-17878 Slow incremental adaptive elaboration when changing package content referenced in many modules

Features

  • DVT-17808 Add option to specify elaboration liblist in the project build config file

Enhancements

  • DVT-12709 DVT CLI: Automatically add -include auto when the project directory contains a large number of resources

  • DVT-17428 Natural Docs definition list formatting in tooltips is not rendered correctly

  • DVT-17875 Support negative values for loop generate indices

Bugfixes

  • DVT-14925 Incorrect recurrence detected for instances of the same design but with different parameter values

  • DVT-17575 Support for non-value entity generics in elaboration

  • DVT-17834 Recurrence not detected at incremental elaboration

  • DVT-17881 OutOfBoundsException thrown when selecting from an unpacked array

22.1.21 (21 July 2022)

Enhancements

  • DVT-17801 Elaborate enum constants defined inside architecture

Bugfixes

  • DVT-17383 Show Usages: Refreshing changed files outside DVT takes forever when "Refresh on access" preference is activated

22.1.20 (11 July 2022)

Performance

  • DVT-17771 Slow search operation in Compile Order view for projects with CDT nature

Enhancements

  • DVT-17743 Perform automatic profiling of design elaboration after a configurable time threshold

Bugfixes

  • DVT-17766 Editor Notification sometimes leaves visual artefacts

  • DVT-17777 UNELABORATED_PACKAGE warnings are sometimes not properly triggered at incremental build in the currently edited file

22.1.19 (28 June 2022)

Enhancements

  • DVT-14954 Evaluate assignments where the LH side is hierarchical identifier

  • DVT-17703 Rename NO_GENERATE_BLOCK_EVAL value of elaboration control directive to EACH_GENERATE_BLOCK_ONCE

  • DVT-17704 Add option to not elaborate generate blocks

Bugfixes

  • DVT-17570 Incorrect INCOMPLETE_ARRAY_AGGREGATE error for array of records initialization

  • DVT-17668 External builder cannot be stopped from progress monitor

  • DVT-17695 Missing UNELABORATED_PACKAGE warning for imported package in unelaborated module

  • DVT-17711 Thread Dump Collector: +dvt_profile+ period should default to 500ms

22.1.18 (15 June 2022)

Bugfixes

  • DVT-17671 False references in procedure calls for same named enum literals in a scope

22.1.17 (8 June 2022)

Enhancements

  • DVT-17655 Show top designs message in the Console View at the start of the elaboration

Bugfixes

  • DVT-17569 False AMBIGUOUS_EXPRESSION for function with optional argument

  • DVT-17635 Settings Management does not work properly under Windows

  • DVT-17665 Changes in DVT_COMMON_SETTINGS environment variable are not always reflected in the DVT-Settings project

22.1.16 (31 May 2022)

Performance

  • DVT-17381 Saving source files becomes slow when an external builder is defined

Enhancements

  • DVT-16914 Improve handling of out-of-sync source files when performing semantic search operations

Bugfixes

  • DVT-17043 Packages imported in unelaborated package are considered elaborated

  • DVT-17577 NullPointerException thrown in type conversion semantic check

  • DVT-17581 DVT CLI deadlock during Perforce association

  • DVT-17596 Opening external builders project property page should not modify the project description

  • DVT-17605 Search for references on enum literal definition does not work when another enum literal with the same name is defined in the enclosing scope

22.1.14 (17 May 2022)

Performance

  • DVT-17563 Improve performance of semantic checks in the unelaborated design

Bugfixes

  • DVT-17493 Incorrect UNELABORATED_MODULE warning after incremental elaboration for bound module

22.1.13 (9 May 2022)

DEPRECATED

  • DVT-17543 Deprecate dvt_elaboration_expression_operator_control build directive

Enhancements

  • DVT-17445 Rework parameter string values for vhdl arrays

  • DVT-17486 Build log/output should be consistent across tools

  • DVT-17492 Ability to skip elaboration of instances based on path pattern

  • DVT-17536 Change +dvt_elaboration_skip_modules directive to accept simple pattern

  • DVT-17554 Change dvt_disable_unelaborated_package_constants directive name to be in line with other similar directives

Bugfixes

  • DVT-17535 The "-sourcemap" argument does not work in dvt_sv_lint_gui.sh

22.1.12 (3 May 2022)

Enhancements

  • DVT-17263 Add support for procedure output argument update in evaluation

Bugfixes

  • DVT-17508 "SWT Resource not properly disposed" exception is thrown when triggering Diagram Magnifier

22.1.11 (18 April 2022)

CLEANUP

  • DVT-17451 Change predefined project 'usb_sim_model' library name to valid identifier

Enhancements

  • DVT-15518 Add support for to_stdulogicvector evaluation function in std_logic_1164

  • DVT-17424 Ability to search by any column in tree table views

22.1.10 (12 April 2022)

Enhancements

  • DVT-13591 Including other waiver files should take into account the position of the <include> tag

Bugfixes

  • DVT-16900 "Show Instances in the Design Hierarchy" hyperlink does not work for VHDL components

  • DVT-17452 Diagram generation fails when triggered on a component's name

  • DVT-17461 ConcurrentModificationException thrown sometimes at elaboration in a mixed design when a component binds to module with implicit signals

  • DVT-17471 Do not show BuildCancelException after canceling the elaboration

  • DVT-17479 Replace globbing in scripts to workaround a shell bug preventing globbing in nested invocations

22.1.9 (30 March 2022)

Enhancements

  • DVT-17400 Lower the default tooltip size when the content cannot be measured efficiently

  • DVT-17402 Disable HTML tooltips for incompatible WebKit versions

  • DVT-17404 Ability to stop elaboration at a certain module or entity based on file path

22.1.8 (15 March 2022)

Performance

  • DVT-14716 Limit the number of task tags to improve performance

  • DVT-17135 Resource monitor should adapt scan speed to limit computational overhead

Features

  • DVT-17389 License: Add support for inline string license sources

  • DVT-17390 License: Add support for platform independent license source separator &

  • DVT-17391 License: Add support for using a local license by multiple applications at the same time under the same user

Enhancements

  • DVT-17355 Editor Notification: Improve project visibility look & feel when proposing resource filters

  • DVT-17373 Change dvt_elaboration_loop_block_cutoff directive to elaborate at most the number specified of loop instances

  • DVT-17392 License: Send to the license server the client PID instead of the unused and dummy display value

Bugfixes

  • DVT-17247 WaveDrom diagrams should be exported using UTF-8 encoding

  • DVT-17393 License: Fixed custom port support in local license sources

22.1.7 (2 March 2022)

Performance

  • DVT-17124 Improved memory usage for code folding

  • DVT-17297 Improved incremental performance for change in design element instantiated in multiple architectures

Bugfixes

  • DVT-17132 Sometimes collapsed code gets partially expanded without any user action

  • DVT-17313 FSM Diagrams: Diagram is not generated in some cases when a next variable is used

  • DVT-17341 Re-enable older TLS versions in JRE in order to support all third party plugins

22.1.6 (23 February 2022)

Performance

  • DVT-17284 Improve elaboration performance for instances with many port connections

REMOVED

  • DVT-17311 Removed distros based on Eclipse 4.21

Features

  • DVT-16865 & DVT-17261 Add new distro for Linux aarch64 based on Eclipse 4.22 (e422-linux_64_arm)

  • DVT-17309 Add new distro for MacOS aarch64 based on Eclipse 4.22 (e422-macos_64_arm)

  • DVT-17310 Add new distros based on Eclipse 4.22 with Java 17

  • DVT-17312 Ability to relocate $HOME/.dvt using DVT_USER_DIR environment variable

Enhancements

  • DVT-17298 Add option to speed up elaboration in some cases by allowing more working memory

Bugfixes

  • DVT-17266 Refactor Rename of function name does not affect end label

  • DVT-17277 Incorrect actual value for package constant after incrementally changing its value

  • DVT-17279 Package constant UNRESOLVED_PARAMETER_VALUE warning disappears after incremental elaboration

22.1.5 (16 February 2022)

Enhancements

  • DVT-17127 Specador: Improve look and feel of the Styling preferences page on Eclipse >= 4.11

Bugfixes

  • DVT-17138 Content filters not working for the Design Hierarchy Top selector

  • DVT-17185 Toolbar buttons cannot be re-enabled after disablement in custom DVT perspectives

  • DVT-17219 Missing vertical scrollbar in tooltips when content overflows

  • DVT-17232 DVT CLI should be able to include GIT repositories when there is no underlying project created in the workspace

22.1.4 (8 February 2022)

Performance

  • DVT-17170 Outline View: Prevent memory leaks when closing large files

Enhancements

  • DVT-14736 Show error details by default in the license log

  • DVT-17028 Improve elaboration tooltip for parameters with no actual value

  • DVT-17209 Show LM_PROJECT value in the license log

Bugfixes

  • DVT-17102 Sometimes Find and Replace dialog content is not highlighted

  • DVT-17181 No actual value displayed for changed parameter name after incremental adaptive elaboration

22.1.3 (31 January 2022)

Bugfixes

  • DVT-17139 Syntax Coloring: Highlight in Code Templates specific editors might lead to UI hangs

  • DVT-17156 Syntax Coloring: Comments and strings are not properly colored in Code Templates specific editors

22.1.2 (26 January 2022)

Bugfixes

  • DVT-17001 Syntax Coloring is broken in compare editors

  • DVT-17045 Cancel build button is still active after full build has finished

  • DVT-17143 Editor full elaboration notification sometimes doesn't appear for multiple changed instances in the same scope

  • DVT-17144 False UNDECLARED_IDENTIFIER semantic error after adaptive incremental elaboration change to undeclared instance

  • DVT-17154 Some scripts are not working correctly when ANSI colors are always set for some system commands

22.1.1 (18 January 2022)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 21.1.# hotfix releases for early adopters.

Performance

  • DVT-16978 Throttle down DVT Resource Monitor scan speed

Features

  • DVT-2463 & DVT-8579 & DVT-13533 Show the actual value of a parameter in its tooltip and in the Inspect View

  • DVT-3876 & DVT-8191 Intelligent code collapse/coloring for conditional parameterized generate

  • DVT-10071 Ability to show instances of modules/entities from editor in the Design Hierarchy view

  • DVT-10891 Show values for computed constants

  • DVT-11312 & DVT-11384 Ability to specify VHDL top using -top/+nctop+

  • DVT-11783 Ability to show multiple tops in the Design Hierarchy View

  • DVT-14593 Trigger warning for unelaborated module/entity

  • DVT-14653 Show the actual value for an elaborated package constant

  • DVT-16742 Trigger warning for unelaborated package

  • DVT-17078 Changed default to true for the +dvt_enable_elaboration directive

Enhancements

  • DVT-2513 Show module parameters in Design Hierarchy Ports View

  • DVT-4773 Generate design diagram based on evaluated expressions in generate blocks

  • DVT-6020 The "Show Design Hierarchy" action should be aware of the current design breadcrumb

  • DVT-8936 & DVT-10066 Enhance multiple tops elaboration

  • DVT-10680 Design Hierarchy View: Ability to filter out instances of a specified type

  • DVT-11383 Trigger warning for generic that has no actual associated with it

  • DVT-12633 Trigger port errors between a component and its entity binding

  • DVT-12697 Design Breadcrumb should be cursor sensitive

  • DVT-13034 Trigger elaboration warning for component with no entity binding

  • DVT-13381 Trigger error when specifying an architecture with a different name than the block configuration

  • DVT-14012 Quick views should persist their size and location

  • DVT-14630 Add ability to see parameter writer based on the editor design breadcrumb

  • DVT-14704 Ability to control elaboration steps

  • DVT-14809 Incremental elaboration should be performed only on changed designs

  • DVT-14930 Hyperlinks: Ability to jump to the assignment of a parameter

  • DVT-15209 Elaborate newly added design one level on editor open

  • DVT-15430 Trace diagrams should show accurate loop generate instances

  • DVT-15500 Ability to see post config matches for design ports/parameters

  • DVT-15571 Design Breadcrumb: Select Instance Path for unelaborated generate blocks

  • DVT-16341 Editor notification bar should pop-up when full elaboration is recommended

  • DVT-16445 Add diagram preview for Connect Instances Refactoring

  • DVT-17079 Ability to stop the elaboration of a certain cell (i.e. module, entity) based on name

  • DVT-17082 Add option to configure the cut-off number for elaborated loop blocks

  • DVT-17083 Trigger elaboration warnings for defective loop blocks

  • DVT-17084 Trigger error for invalid liblist when elaborating an instance

  • DVT-17085 Trigger elaboration error for configuration top with invalid design

  • DVT-17087 Trigger elaboration error for duplicate tops

  • DVT-17092 Trigger elaboration warning for unresolved parameter expression

  • DVT-17093 Trigger elaboration warning for unresolved enum name expression

  • DVT-17095 Trigger error for open override of a parameter with no default value

  • DVT-17096 Trigger elaboration warning for unresolved constant expression

  • DVT-17097 Trigger error for incomplete array aggregate used as parameter value

  • DVT-17098 Trigger error for duplicate association in aggregate used as parameter value

  • DVT-17099 Trigger error for out of bounds value in aggregate passed as parameter value

Bugfixes

  • DVT-14810 Update Design Hierarchy view at incremental for generate condition change

  • DVT-14833 False UNDECLARED_TOP error for VHDL design (entity, configuration) in mixed project

  • DVT-15495 No MISSING_PORT_CONNECTION warning when instantiating Verilog module in VHDL

  • DVT-15709 Some hyperlinks on design elements are not working when the focus is set on another editor

  • DVT-16171 Elaboration triggered from the editor notification bar may freeze the GUI

  • DVT-16329 Subtype constraints are not evaluated when determining the type of a generic

  • DVT-16903 No references reported in SystemVerilog when searching for VHDL generics

  • DVT-16926 Projects created via DVT CLI should get selected in Project Explorer and Problems View

  • DVT-16990 Design diagrams: Show connections not working when breadcrumb is hidden

  • DVT-17117 Crash when saving diagrams on Eclipse >=4.15

  • DVT-17118 Sometimes Editor Notification mistakenly persists after full elaboration database update

21.1.53 (22 December 2021)

REMOVED

  • DVT-16932 Remove old Design Hierarchy View

Performance

  • DVT-16991 Slow incremental time when resolving a literal to an array of characters

Enhancements

  • DVT-17011 Diagrams: Add shortcut to reset magnifier zoom and size

  • DVT-17018 Diagrams: Add shortcut to fit diagram to canvas

Bugfixes

  • DVT-16870 Emacs+ Plug-in reports UI error

  • DVT-16952 Outline View cannot be used if you close it then reopen it

  • DVT-16999 The "Inspect" hyperlink from problem tooltip does not work when Inspect View is closed

21.1.52 (14 December 2021)

Enhancements

  • DVT-16721 Specador: Ability to generate documentation starting from any XML preference file

Bugfixes

  • DVT-16964 Editor Notification artefacts when horizontally scrolling the editor on Eclipse 4.6/4.7

  • DVT-16966 Profile directives specified in the build file should ignore the Open in Editor dialog option

  • DVT-16967 Report an Issue dialog hangs the UI if there is no Internet connection

21.1.51 (6 December 2021)

Bugfixes

  • DVT-16057 "The workspace tree is already locked" error sometimes pops-up at startup

  • DVT-16823 Writing in a newly opened file is blocked during build in Eclipse 4.19 and Eclipse 4.21

  • DVT-16847 Progress dialog displays wrong message during semantic search

  • DVT-16889 Specador: UML Diagrams should ignore the Content Filters indicator

  • DVT-16895 Specador: Font differences between diagrams generated in GUI vs Batch mode

  • DVT-16905 All views: Graphical artifacts when changing the active build configuration

  • DVT-16915 Sometimes Scope Breadcrumb causes StackOverflowException on Windows

  • DVT-16923 Illegal reflective access by ro.amiq.dvt.utils.DVTProcessRunner warning when using Java >8

  • DVT-16928 Manually triggered garbage collection causes Breadcrumb "SWT not properly disposed" exception

21.1.50 (23 November 2021)

Performance

  • DVT-7556 Custom Dialogs: Snapshot selection is slow for custom dialogs with many widgets

Features

  • DVT-13223 Diagrams: Ability to use a magnifier to locally zoom the diagram

Enhancements

  • DVT-16901 Add problem marker information in Inspect View

  • DVT-16902 Add "inspect" hyperlink in problem tooltip

21.1.49 (17 November 2021)

Bugfixes

  • DVT-16891 Recently added resource monitor breaks the license idle time functionality

21.1.48 (16 November 2021)

Performance

  • DVT-16300 Show usages: Improve performance when project files are out of sync

Features

  • DVT-15825 Automatically monitor growing number of resources in the workspace projects and pop-up a notification when performance may be affected

Bugfixes

  • DVT-16807 Rename refactoring with filename / javadoc updates might lead to overlapping code changes

  • DVT-16822 License status and progress bar are not on the right side of the bottom toolbar when starting DVT in a new workspace

  • DVT-16851 Theme Engine: Add support for YAML plugin

  • DVT-16867 Thread Dump Collector is not working with Java 11+

21.1.47 (8 November 2021)

Enhancements

  • DVT-16668 Tooltips: Ability to specify Natural Docs image locations

  • DVT-16816 Tooltips: Ability to embed images relatively to the source file from NaturalDocs comments

Bugfixes

  • DVT-16801 Diagrams: Sometimes multiple popup dialogs might get opened when pressing the corresponding toolbar button

  • DVT-16866 The patches for the Eclipse Platform are not applied

21.1.46 (2 November 2021)

Bugfixes

  • DVT-16757 Inconsistent members context menu behavior for Verification / Design Hierarchy Views

  • DVT-16788 Compile Order View: Search bar does not update the content if the view was minimized before

  • DVT-16802 Compile Order View: UI slowdown when updated during the first build of a project

21.1.45 (26 October 2021)

Performance

  • DVT-15747 Diagrams: Improve performance of embedded Inspect View updates

21.1.44 (19 October 2021)

Features

  • DVT-16759 New distros based on Eclipse 4.21 with Java 17

Enhancements

  • DVT-15815 Ability to embed WaveDrom description files within comments

Bugfixes

  • DVT-16196 Ability to recreate the project even if the .project file is corrupted

  • DVT-16673 Sometimes typing a path in the New Project Wizard is slow

  • DVT-16686 Sometimes "Restore is already in progress" pop-up appears when rebuilding

21.1.43 (11 October 2021)

Performance

  • DVT-16048 Improved performance of "replace all" in large files

Enhancements

  • DVT-1761 Create a predefined project with examples for Run Configurations, Code Factory templates, Custom Dialogs etc.

  • DVT-16709 Editor Notification: Change Toggle Details icons to expand/collapse

Bugfixes

  • DVT-16681 Compile Order View: Incremental changes are not reflected in the view

  • DVT-16702 Editor Notification: False database not up to date message if semantic checking takes longer than threshold

21.1.42 (4 October 2021)

Bugfixes

  • DVT-16582 Sometimes save cannot be completed when "Hide User Operation is waiting dialog" preference is set

21.1.41 (27 September 2021)

Enhancements

  • DVT-16098 Editor Notification: Ask for a full-build when changing the content of the active build config

Bugfixes

  • DVT-16288 Quick Types view shows "No matches found" instead of "All filtered by content filters"

  • DVT-16559 Ensure that DVT distro scripts run with POSIX mode disabled

  • DVT-16649 Compile Order view shows "All filtered by content filters" instead of "No matches found"

  • DVT-16652 Outline View shows "No matches found" instead of "All filtered by content filters"

  • DVT-16658 Editor Notification: Bad indentation when editor window is narrowed

  • DVT-16682 Quick Types View: NullPointerException thrown when content filters is enabled

21.1.40 (21 September 2021)

Bugfixes

  • DVT-16569 Types View shows "No matches found" instead of "All filtered by content filters"

21.1.39 (15 September 2021)

Enhancements

  • DVT-15410 Compile Order View: Add Go To Include action in the context menu

  • DVT-16400 Editor Notification: Display the full path of the reported files

21.1.38 (8 September 2021)

Enhancements

  • DVT-14072 Scope Breadcrumb: Add "Show Diagram" action

  • DVT-16441 Breadcrumb: Tooltips should be split on multiple lines if exceeding a threshold

  • DVT-16563 Add support for Quick Lazy Outline View

  • DVT-16585 Quick Outline View: Quick search should support type and go (enter)

Bugfixes

  • DVT-16140 Support for worklib library in xcelium.xrun compatibility mode

  • DVT-16611 Eclipse doesn't start on MacOS due to missing permissions

21.1.36 (30 August 2021)

Enhancements

  • DVT-14364 Trigger NON_EXISTING package body error for instantiated package without a body

  • DVT-16496 Build report: Show total number of lines in project

  • DVT-16534 Trigger semantic error for illegal definition of protected type body inside package

  • DVT-16535 Trigger semantic error for non-existent protected type declaration inside package for corresponding protected type body

  • DVT-16539 Trigger MISSING_SUBPROGRAM_BODY warning for unimplemented subprograms in protected type body

Bugfixes

  • DVT-15902 Build report: Files compiled multiple times also show up multiple times in the top by nof lines

21.1.35 (16 August 2021)

Performance

  • DVT-11655 GUI freezes when there are too many annotations on one line

  • DVT-16411 Improve search performance in the Design Hierarchy View

Enhancements

  • DVT-16520 Trigger semantic error for unimplemented subprograms and protected types in package body

Bugfixes

  • DVT-16397 Filesystem Breadcrumb: Elements are sometimes not truncated after opening another file

  • DVT-16462 Filesystem Breadcrumb: Show/Hide actions only work in the currently visible editors

  • DVT-16488 Design Breadcrumb: StackOverflowError thrown for design recurrences

  • DVT-16529 Specador: Progress indicator does not account for comment processors

  • DVT-16530 viPlugin: Emulation mode does not work with split editors

21.1.34 (16 August 2021)

Bugfixes

  • DVT-14285 Incorrect format part of VHDL port connection when module has multiple members with the same name but different letter case

  • DVT-15834 Design Breadcrumb: 'No elab design element at cursor' message shows up instead of 'no elab design element in file'

  • DVT-16112 Build report console sometimes comes up empty

21.1.33 (9 August 2021)

Enhancements

  • DVT-10421 Trigger error for mismatched return statement in subprogram (e.g. empty return in function)

Bugfixes

  • DVT-16092 Content Filters indicator broken on Eclipse 4.19 distros

  • DVT-16451 Applying content filters does not refresh Types View members pane

  • DVT-16470 Sometimes the tooltip for build directives is empty

21.1.32 (3 August 2021)

Performance

  • DVT-16449 Improve performance of new name validation in Rename Wizard

Features

  • DVT-16445 Add diagram preview for Connect Instances Refactoring

Enhancements

  • DVT-14795 Inspect View: Ability to pin view content

  • DVT-15338 Redesigned Connect Instances Refactoring

  • DVT-16382 Editor Notification: Pop-up when build automatically is disabled

  • DVT-16401 Editor Notification: Display the operation kind performed when updating the database

  • DVT-16438 Scope Breadcrumb: Trim elements label exceeding 40 characters

  • DVT-16447 Inspect View: Ability to clone view

Bugfixes

  • DVT-15780 Removed 'Stop Build' button from non-build consoles

  • DVT-16437 Removed 'Build Report' button from non-build consoles

21.1.31 (27 July 2021)

REMOVED

  • DVT-16390 Removed support for +dvt_disable_checks deprecated values, i.e. UNDECLARED_IDENTIFIER, CONTINUOUS_ASSIGNS, INSTANCES_AND_PORT_CONNECTIONS

Enhancements

  • DVT-15840 Trigger an error when an incorrect operator is used when assigning variables

  • DVT-16299 Improve preference page search

Bugfixes

  • DVT-15887 Design Diagrams: Stepping out of a block diagram generates a schematic diagram

  • DVT-16399 & DVT-16412 Design Diagrams: Filters cannot be set to "None" once enabled

  • DVT-16431 Missing hyperlinks for included/imported files while using the mouse

21.1.29 (12 July 2021)

Enhancements

  • DVT-16361 Filesystem Breadcrumb: Change separator to '/'

Bugfixes

  • DVT-15907 Tooltip hyperlinks don't work with Eclipse distributions greater than 4.7

  • DVT-16346 Filesystem Breadcrumb: Dropdown menu shown elements threshold sometimes not working

  • DVT-16351 Filesystem Breadcrumb: Text is not properly visible on dark themes

21.1.28 (6 July 2021)

Performance

  • DVT-16204 Avoid UI freezes due to long tooltip content computation time

Features

  • DVT-16034 New filesystem explorer breadcrumb

Enhancements

  • DVT-15871 New editor notification bar presenting compilation database status

  • DVT-16337 Compile Order View: Ability to jump to the file selection using the return key

Bugfixes

  • DVT-16263 Error markers are sometimes misplaced when using +dvt_auto_link+false

21.1.26 (22 June 2021)

Bugfixes

  • DVT-16293 Specador: Design Diagram wizard page elements are disabled when design API is checked

21.1.25 (16 June 2021)

Performance

  • DVT-16251 Improve incremental build performance for unchanged touched files

  • DVT-16269 Incremental can sometimes be slow when too many editors are opened

  • DVT-16271 Improve Inspect View performance when navigating large files

Bugfixes

  • DVT-16273 Specador: Wizard adds empty entries in the navigation menu for unchecked API

21.1.23 (3 June 2021)

Bugfixes

  • DVT-16226 Specador: Wizard deletes attribute tags if external documentation paths contain environment variables

  • DVT-16237 Hardwire the shell used by distribution scripts to /bin/bash

21.1.22 (24 May 2021)

Performance

  • DVT-16172 Minimize memory consumption caused by empty browser tooltips

Bugfixes

  • DVT-16143 Specador: Wizard does not accept user defined menus defined in XML files

  • DVT-16173 Breadcrumb becomes unresponsive in currently opened editor after hierarchy updates

  • DVT-16203 NullPointerException thrown by the context menu for the top instance of a schematic diagram

21.1.21 (18 May 2021)

Bugfixes

  • DVT-16187 Broken rename file refactoring operation

  • DVT-16188 IllegalArgumentException thrown when closing DVT

21.1.20 (17 May 2021)

Enhancements

  • DVT-16175 Run Configurations: Improved error messages when resolution of ${dvt_cli} variable fails

Bugfixes

  • DVT-16163 UI hangs until end of build when stopping a thread dump collection with "Open in editor" option active

  • DVT-16176 UI hangs when displaying a large number of errors in the editor tooltip

  • DVT-16180 Scope Breadcrumb: Updates are triggered when moving the cursor on the same line

21.1.19 (10 May 2021)

Features

  • DVT-15905 Add a toolbar button to toggle Indent Guide

Bugfixes

  • DVT-16107 Unstable order of VHDL inits in auto-config when same file is part of multiple invocations

  • DVT-16111 Compile Order View: Show only files with errors does not update the counters

21.1.18 (28 April 2021)

Bugfixes

  • DVT-16110 DVT does not start under certain Windows 10 configurations

21.1.17 (26 April 2021)

Enhancements

  • DVT-16082 Quick Compile Order: Reveal the current file when opening the view

Bugfixes

  • DVT-9904 Unable to open Auto-Linked files with names containing the colon ':' character

  • DVT-16085 Eclipse 4.19 crashes when storing passwords in native linux keyring

  • DVT-16088 NullPointerException is thrown while executing some commands due to a bug in a 3rd party command listener

21.1.16 (20 April 2021)

Features

  • DVT-16075 Update Git and Python plugins

21.1.15 (19 April 2021)

REMOVED

  • DVT-16074 Remove distros based on Eclipse 4.4.1 and 4.18

Features

  • DVT-16073 New distros based on Eclipse 4.19

Enhancements

  • DVT-15552 Filter out stdout "Glibc detected java ... free(): invalid pointer" messages

  • DVT-16008 Restore the ability to install PERFORCE.2018 inside compatible distros

  • DVT-16021 Code Formatting: Add option to indent one parameter per line with the open parentheses on the same line as the parameter receiver

Bugfixes

  • DVT-15646 Validate GTK version before starting up DVT

  • DVT-15895 View element icons are sometimes not visible after platform startup

  • DVT-16035 Design Hierarchy View: Scroll to end of tree after search never ends

21.1.14 (13 April 2021)

Bugfixes

  • DVT-16017 Semantic search: GUI freeze when checking for source files stored on slow file system and changed outside DVT

21.1.13 (5 April 2021)

Performance

  • DVT-16014 Quick Types View: Improve performance for quick search

Enhancements

  • DVT-15975 SWT automatic configuration excludes incompatible WebKit versions at startup

  • DVT-16012 Filter out stdout "REFRESH:preExec" messages

21.1.12 (30 March 2021)

Enhancements

  • DVT-3986 Provide an easy way to customize and filter the content of Outline view

  • DVT-6378 Outline View: Preserve expanded state after update

  • DVT-7134 Show indication in Outline View when some/all of the elements are filtered

  • DVT-12422 Switching between views and editor takes a lot of time for big files

  • DVT-12434 Outline view slows down opening large files

  • DVT-15340 Outline View: Ability to sort elements alphabetically or by definition when category sort is on

  • DVT-15978 Power Format: Add support for -testbench argument for set_design_top UPF command

  • DVT-15979 Outline View: Preserve selection after update

  • DVT-15980 Outline View: Expand/Collapse All should not be persistent

  • DVT-15981 Outline View: Ability to cancel an "Updating..." action

  • DVT-15982 Outline View: Keep selection in views after clearing the quick search bar

  • DVT-15983 Outline View: tree/table scroll state should be persistent across updates if possible

  • DVT-15984 Outline View: Improved 'Expand All' performance for trees beginning with no-children nodes

Bugfixes

  • DVT-15974 When tracing signals consider assignments inside generate block without local instances

21.1.9 (8 March 2021)

Bugfixes

  • DVT-15878 Types View: Wrong message is displayed when searching for non-existent type

21.1.8 (1 March 2021)

Enhancements

  • DVT-15850 Misleading error message when launching run configuration in empty workspace

Bugfixes

  • DVT-15853 Sometimes license remained checked-out after the last project was deleted

  • DVT-15857 Sometimes editors remained open after the containing project was closed or deleted

21.1.7 (22 February 2021)

Bugfixes

  • DVT-13908 Project Colors: Label background should be adjusted depending on the theme (dark / light)

21.1.6 (15 February 2021)

REMOVED

  • DVT-15826 Removed Eclipse 4.4.1, 4.6.3, 4.7,2 and 4.11 distros for Windows and MacOS

Performance

  • DVT-15811 Improve Compare Viewer performance on Eclipse 4.18

Feature

  • DVT-15564 Ability to configure the build of a project from simulator log

Enhancements

  • DVT-15792 Update WaveDrom package to v2.6.8

  • DVT-15810 Update Perforce plugin to version 2020.1

Bugfixes

  • DVT-15802 Custom Dialogs: Snapshot does not restore previous selections

  • DVT-15809 NullPointerException thrown when canceling the 'Add File' dialog within the Report Issue dialog

  • DVT-15835 Switch to AdoptOpenJDK 8 for Eclipse 4.11 distros to avoid crashes while doing thread-dumps

21.1.5 (5 February 2021)

Bugfixes

  • DVT-15796 Unexpected exception org/eclipse/ltk/core/refactoring/resource/DeleteResourceChange$1 thrown when deleting a project in Eclipse 4.18

21.1.4 (2 February 2021)

REMOVED

  • DVT-15772 Removed Collaborator 11 plugin from distros due to incompatibilities

  • DVT-15773 Removed Perforce 2015 plugin from distros

Performance

  • DVT-15478 Progress Monitor for "build automatically" makes the slows down the UI in some environments

Features

  • DVT-13441 Add YAML plugin in all distros

  • DVT-13735 Add JSON plugin in all distros

  • DVT-14570 Add EditorConfig plugin in all distros

  • DVT-15257 Pre-install IndentGuide plugin in all distros based on Eclipse 4.11 and 4.18

  • DVT-15258 New distros based on Eclipse 4.18

  • DVT-15403 Add Mylyn plug-in in all distros

Enhancements

  • DVT-14934 & DVT-15501 Update all distro plugins

  • DVT-15737 Use proper heap size when invoking java in scripts

  • DVT-15762 Switch to AdoptOpenJDK 11 for Eclipse 4.11 and 4.18 distros

  • DVT-15763 Switch to AdoptOpenJDK 8 for Eclipse 4.4.1, 4.6.3 and 4.7.2 distros

21.1.3 (25 January 2021)

Features

  • DVT-11532 Breadcrumb: Ability to use keyboard shortcuts to navigate the hierarchy

Enhancements

  • DVT-10954 Build config: Add xcelium.xrun compatibility mode

  • DVT-15666 Type checking not performed for unbound instance component port and generic map

  • DVT-15715 Improve message in build interrupted dialog when a file compile timeout is reached

Bugfixes

  • DVT-15484 Switching the active build config does not update the toolbar indicator when build automatically is disabled

  • DVT-15754 Elsif condition labels in FSM Diagrams are not computed correctly

21.1.2 (18 January 2021)

Performance

  • DVT-15727 Improve editor performance for files containing many annotations

  • DVT-15728 Selecting large templates in Code Templates View causes UI hangs

21.1.1 (11 January 2021)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 20.1.# hotfix releases for early adopters.

  • Improved compilation performance

  • Improved build auto-config performance

  • Improved Show Usages performance and accuracy

  • Improved design elaboration accuracy for generate blocks and parameter values

  • 30 new elaboration checks

  • Improved editor performance when working with large files

  • Improved semantic checking

  • Improved UI look and feel and dark theme support

  • Improved speed of Tooltips rendering

  • Redesigned Compile Order View for performance and usability

  • New Command Line Interface script for Windows

  • Improved JavaDoc and Natural Docs comment formatter

  • Improved support for hyperlinks in comments

  • Ability to auto-indent or fully format the code on paste

Performance

  • DVT-12783 Improve Types View performance

Features

  • DVT-15522 Add new +dvt_include_build build configuration directive

Enhancements

  • DVT-15667 Types View: Preserve expanded state after update

  • DVT-15668 Types View: Preserve selection after update

  • DVT-15669 Types View: Expand/collapse all should not be persistent across updates

  • DVT-15670 Types View: Ability to cancel view operations

  • DVT-15671 Types View: Keep selection in view after clearing the quick search bar

  • DVT-15672 Types View: Tree scroll state should be persistent across updates if possible

  • DVT-15673 Types View: Improved 'Expand All' performance for trees beginning with no-children nodes

  • DVT-15711 Quick Types View: Quick search should support type and go (enter)

  • DVT-15714 Ability to define a shortcut for 'Jump to Assignment' action

Bugfixes

  • DVT-15683 Formatting by external tool does not work

  • DVT-15686 Some of the flags supported by dvt.sh -options are not propagated correctly

  • DVT-15702 Build config: False error reported on -libmap_verbose directive

  • DVT-15704 Design Hierarchy View: Selection is not preserved when searched text is changed

  • DVT-15705 Types view: Wrong types number when Quick Types View is opened

20.1.44 (21 December 2020)

Bugfixes

  • DVT-15652 Syntax Coloring: Improved large file performance in low memory conditions

20.1.43 (8 December 2020)

REMOVED

  • DVT-15661 Remove the Old Compile Order View

Bugfixes

  • DVT-15635 Sometimes the main application window becomes unresponsive when a dialog is about to appear or new dialogs are partially painted

20.1.42 (4 December 2020)

Bugfixes

  • DVT-15612 Batch builder should not load .dvt/waivers.xml files automatically (they should be passed using -compile_waivers)

  • DVT-15618 NPE may be thrown when overwriting a predefined project after DVT restart

  • DVT-15621 Substitution does not work for build configuration files in certain scenarios

20.1.41 (20 November 2020)

Enhancements

  • DVT-15567 Add support for using +dvt_file_substitute with build configuration files

  • DVT-15575 When DVT_XXX_HOME variables are not set, fall back to known $DVT_HOME locations

20.1.40 (13 November 2020)

Performance

  • DVT-15469 Decrease tooltip rendering timeout to prevent UI slowdowns

Bugfixes

  • DVT-15351 VHDL auto-config should not split files belonging to the same library across multiple invocations

  • DVT-15363 VHDL auto-config does not correctly infer the order of multiple invocations

  • DVT-15455 Show usages should require refreshing and incremental compilation of out-of-sync source files

  • DVT-15486 StackOverflowError thrown when triggering some shortcuts (Eclipse 4.11)

  • DVT-15558 DVT CLI createProject fails for projects configured in ius.irun build compatibility mode

  • DVT-15563 No matches when re-running a previous search query after incremental build

20.1.39 (9 November 2020)

Performance

  • DVT-15534 Improve build auto-config scanning performance

Enhancements

  • DVT-15440 Improve build auto-config timeout handling

  • DVT-15535 DVT CLI: Automatically create a build configuration file with +dvt_init_auto when none was found

Bugfixes

  • DVT-15452 Sometimes the navigation history back/forward buttons don't work

  • DVT-15536 DVT CLI: Build auto-config was done twice when -include auto was active

  • DVT-14734 DVT CLI: -include auto should work on projects configured with +dvt_init_auto

  • DVT-15546 Unable to use ${message_sl} patterns in user-defined external tool filters

  • DVT-15550 Scripts platform check doesn't work for all 64-bit platforms

20.1.38 (30 October 2020)

Enhancements

  • DVT-14318 Filter out stderr dconf-WARNING messages

  • DVT-15530 Ability to use infinite license idle time by setting DVT_LICENSE_IDLE_TIME=0

Bugfixes

  • DVT-14787 XULRunner is causing a "too many open files" error when running on NFS home folders

  • DVT-15529 Rename across Design Hierarchy: Wrong preview diagram when applied on right hand side port/signal of port connection

20.1.37 (23 October 2020)

Enhancements

  • DVT-15329 Design Breadcrumb: Accurate updates when navigating from the Trace Connections View

20.1.36 (16 October 2020)

Bugfixes

  • DVT-15459 Build config: -vlogcontrolrelax directive should take one argument

20.1.35 (8 October 2020)

Enhancements

  • DVT-15433 When searching for entity generic, report all usages of its corresponding component generic and vice versa

Bugfixes

  • DVT-15413 Text PD colors disappear after hovering over Design Breadcrumb

  • DVT-15424 Theme Engine: Entering the preference page applies the last selected theme, even if no changes were done

20.1.34 (2 October 2020)

Performance

  • DVT-15390 Apply +dvt_skip_compile+ directives to top files in early compilation stages for improved performance

Bugfixes

  • DVT-15393 Add Port Quick Fix: New port connection is added without separator

  • DVT-15396 Crashes when generating diagrams

  • DVT-15407 False AMBIGUOUS_SUBPROGRAM "/=" when left-hand side is an array aggregate

20.1.33 (28 September 2020)

Bugfixes

  • DVT-11427 No error are triggered when modifying field/port type or function return type in the files where they are used

  • DVT-15379 Rename Refactoring Wizard: Once triggered, force preview remains persistent until the wizard is closed

  • DVT-15392 Add Port/Add Generic Quick Fix: When newly added port or generic is already connected but not exist, the connection is duplicated

20.1.32 (17 September 2020)

Features

  • DVT-15353 Positional Tooltips: Add support for generics in entity/component instantiations

Enhancements

  • DVT-15349 Add more info about potential matches in the Search View

  • DVT-15357 Improve semantic checking of record aggregates used in generic map connections

Bugfixes

  • DVT-14541 Design Hierarchy View: Current project color is not used for info label

  • DVT-15326 False TYPE_MISMATCH when using constants as formal parts in aggregates

  • DVT-15343 Power Format: Instance paths containing generate blocks are not recognized when using '.' as separators

  • DVT-15372 Power Format: Incremental build is broken after a few changes in the power format files

20.1.31 (11 September 2020)

Enhancements

  • DVT-14566 Add support for generic parameters in HTML tooltips and comment hyperlinks

  • DVT-15267 Add support for double colon as segment path separator in hyperlinks

  • DVT-15270 Improve accuracy of element searching for hyperlinks in comments

  • DVT-15316 Closing a project should cancel an ongoing restore operation

Bugfixes

  • DVT-15330 Wrong label for "Show Instances" action triggered from the editor right click menu

  • DVT-15334 dvt.sh always exits with non-zero code

20.1.30 (3 September 2020)

Enhancements

  • DVT-13636 Content Assist: Increase the number of proposals from packages containing operator overrides

Bugfixes

  • DVT-15284 Design Diagrams: 'Show Connections' action does not work when selecting multiple connections

  • DVT-15291 Architecture name not taken into account in entity instantiation

  • DVT-15299 Compile Order: Auto-linked files are not opened as part of the current project

  • DVT-15300 False AMBIGUOUS_SUBPROGRAM error for TO_STDULOGICVECTOR function in VHDL 87 syntax

  • DVT-15303 False TYPE_MISMATCH for select on an overloaded array type from ieee.math_complex package

20.1.29 (28 August 2020)

Enhancements

  • DVT-15180 Code Formatting: Bring opening keyword for block statements to the same line even if the line ends in comment

  • DVT-15279 Build config: Add support for +xmtop build configuration directive

Bugfixes

  • DVT-10693 Build config: Elaboration-related directives specified outside any -makelib should be considered global

  • DVT-15286 When SWT automatic configuration is disabled a wrong configuration is set

20.1.28 (21 August 2020)

Bugfixes

  • DVT-15245 StackOverflowError when declaring array type of itself

  • DVT-15266 Build config: -xprop directive should take one argument

20.1.27 (14 August 2020)

Enhancements

  • DVT-15205 Compile waivers: Add support to match problems by default-severity

  • DVT-15206 Compile waivers: Add support to waive all problems at once by disabling match message and path

Bugfixes

  • DVT-15188 Scope Breadcrumb: Inhibit updates while moving the cursor in a file

  • DVT-15194 Rebuilding project after deleting waivers file does not update problem to its original severity in batch mode

  • DVT-15220 Compile Order: Selection is not preserved after filter is cleared on mixed project

20.1.26 (30 July 2020)

Enhancements

  • DVT-13906 Allow any function to be quoted in a use clause

Bugfixes

  • DVT-14774 Resource filters dialog allow to filter elements inside a folder which exceeds the limits

  • DVT-15154 Add To Sensitivity List Quick Fix: Signal wrongly added in sensitivity list when previous signals contain array slice operations

20.1.24 (17 July 2020)

Enhancements

  • DVT-14405 FSM Diagrams: Display transition index label by default

  • DVT-14477 Design Diagrams: Add keyboard shortcut for "Show Connections" action

  • DVT-14598 Theme Engine: Change the default color of notifications

  • DVT-14670 Content Assist: Add different icons for components and entities when using auto-instance

  • DVT-14939 Add Port / Parameter Refactoring: Add support for escaped identifier warning when new name contains invalid characters

  • DVT-14965 Triggering a rebuild during restore should allow cancellation of an ongoing restore operation

Bugfixes

  • DVT-14450 Diagrams: Filters are not persisted when navigating using the Design Breadcrumb

  • DVT-14978 Waivers generated by +dvt_auto_snps_vip_waivers build config directive are not applied in batch mode

  • DVT-14979 +dvt_preprocess_translate_pragmas directive is not taken into account at full build in batch mode

20.1.22 (8 July 2020)

Enhancements

  • DVT-14938 Increase default timeout for grabbing output of external programs (e.g. irun location)

Bugfixes

  • DVT-14937 Design Hierarchy View is not updating after triggering it on a non design element

20.1.21 (2 July 2020)

Enhancements

  • DVT-14556 Show an info message when WaveDrom diagrams are not shown because they are larger than the configured threshold

Bugfixes

  • DVT-14863 Scope Breadcrumb: Filtered elements are no longer grayed-out after mouse hover on Eclipse 4.11

  • DVT-14885 Compare Viewer doesn't work for git staged files

  • DVT-14914 Prevent HTML tooltip link action until the tooltip is focused to avoid crashes of WebKit rendering engine

20.1.20 (26 June 2020)

Enhancements

  • DVT-14400 FSM Diagrams: Ability to "Go To Source" from right click menu on a transition table entry

Bugfixes

  • DVT-14853 Sometimes the SWT automatic configuration timeout doesn't work

20.1.17 (16 June 2020)

Bugfixes

  • DVT-14758 DVT CLI: Some commands return before ending due to wrongly used net timeout

20.1.15 (4 June 2020)

Enhancements

  • DVT-14649 Organize non-standard checks into strict or not

20.1.14 (28 May 2020)

Bugfixes

  • DVT-14698 dvt.sh / dvt_cli.sh -eclipse_args -pluginCustomization is not taken into account

20.1.13 (22 May 2020)

Bugfixes

  • DVT-14690 Wavedrom diagrams are not showing up in Inspect View on Eclipse 4.11

20.1.12 (21 May 2020)

Performance

  • DVT-14668 Improve speed of HTML tooltips rendering

CLEANUP

  • DVT-14683 Theme Engine: Change tooltip color to white for all tooltips

Bugfixes

  • DVT-14558 Sometimes the HTML tooltips are not properly sized

  • DVT-14662 Wavedrom diagram flashes inside the Inspect View while editing

  • DVT-14667 Show Diagram action does not preserve the breadcrumb instance for components

  • DVT-14669 Design Hierarchy View: View is not updating when Ports View is hidden

  • DVT-14678 Semantic Search: Stopping current search query is not working when searching for declarations

20.1.11 (15 May 2020)

Performance

  • DVT-14640 Compile Order View: Improved 'Expand All' performance for trees beginning with no-children nodes

  • DVT-14651 Improve speed of JavaDoc and Natural Docs formatted tooltips

Enhancements

  • DVT-13619 Show warning when using an unresolved environment variable in compile waivers

  • DVT-14634 Compile Order View: Preserve expanded state after update

  • DVT-14635 Compile Order View: Preserve selection after update

  • DVT-14636 Compile Order View: Tree scroll state should be persistent across updates if possible

  • DVT-14637 Compile Order View: Expand/collapse all should not be persistent

  • DVT-14638 Compile Order View: Ability to cancel view operations

  • DVT-14639 Compile Order View: Keep selection in view after clearing the quick search bar

  • DVT-14641 Quick Compile Order View: Quick search should support type and go (enter)

Bugfixes

  • DVT-14624 Some Windows scripts don't work when DVT_HOME contains spaces

  • DVT-14642 UI hangs for several seconds at start of full build for large projects

  • DVT-14644 Wrong instance type for module and entity with the same name in libraries with the same name

  • DVT-14647 Theme Engine: Filter boxes are not properly colored in Eclipse Dark Theme

20.1.10 (11 May 2020)

Performance

  • DVT-14625 Content Assist: Proposals are displayed slowly if they have big comments

Enhancements

  • DVT-12538 Add support for JavaDoc code tag in comments

  • DVT-14548 Theme Engine: Improve support for Eclipse dark themes

  • DVT-14596 Enhancements for JavaDoc and Natural Docs comment formatter

Bugfixes

  • DVT-8722 JavaDoc comment is not picked up correctly when using a blank line after @param

  • DVT-14551 Theme Engine: Blinking notification text is not visible in dark themes

  • DVT-14554 Theme Engine: Error messages when saving a custom dialog snapshot are not visible in dark themes

  • DVT-14573 Theme Engine: Call Hierarchy info label is not properly colored when using Project Colors on Eclipse 4.11

  • DVT-14576 Theme Engine: Info labels are not colored uniformly in all views when using Project Colors

  • DVT-14577 Sometimes the SWT automatic configuration fails for XULRunner in high load CPU scenario

  • DVT-14589 Tooltips do not work on an already opened file after DVT is restarted

  • DVT-14601 Design Breadcrumb: Hyperlinks on parameters should keep the current breadcrumb path

  • DVT-14609 Stop build from console button does not work for CLI-initiated builds

20.1.9 (30 April 2020)

Performance

  • DVT-14537 Design Hierarchy View: Improve 'Collapse All' action performance

Features

  • DVT-12679 DVT CLI: Add dvt_cli.bat script for Windows

  • DVT-14325 DVT CLI: Add -nostart flag to avoid starting a new Eclipse instance

Enhancements

  • DVT-4591 DVT CLI: Use the last workspace or $HOME/dvt_workspace if no workspace is specified

  • DVT-5502 DVT CLI: Add support for relative paths in createProject and importProject commands

  • DVT-14232 Use full path to system commands in Windows scripts to avoid issues when different tools replace the builtin commands

  • DVT-14488 DVT CLI: Ability to open a non-blocking dialog when using openCustomDialog command

Bugfixes

  • DVT-12198 DVT CLI: Killing a dvt_cli.sh -noexit using Ctrl+C does not kill the spawned Eclipse process

  • DVT-14483 Design Breadcrumb: Select Other Instance sometimes not updating the breadcrumb

  • DVT-14538 Theme Engine: "Restore Defaults" action does not change Eclipse Theme to classic on Linux

  • DVT-14555 Some arguments / preferences and internal settings are ignored when starting dvt.sh with -bg

  • DVT-14557 Autocomplete tooltips are showing internal ID's instead of the correct information

  • DVT-14559 Design Breadcrumb: Add Copy Hierarchy Path action for elements in the dropdown menu

  • DVT-14560 Open Instance Hyperlink should be available only on design elements definition

  • DVT-14561 Open Instance Hyperlink should not remove the generate block elements from the Design Breadcrumb

20.1.7 (10 April 2020)

Bugfixes

  • DVT-14490 Semantic search not working when full name of the searched element has more than two segments

20.1.6 (2 April 2020)

Enhancements

  • DVT-14337 Ability to auto-indent or fully format the code on paste

  • DVT-14461 Smart Logs: Use appropriate coloring in dark themes

Bugfixes

  • DVT-9088 Cursor losing position when calling Undo after formatting the code

  • DVT-14434 Theme Engine: Dark UI theme does not work on distros based on Eclipse 4.7 or lower when using GTK3

  • DVT-14479 False errors in the XML editor for compile waivers with multiple <include> tags and without any <waiver> tag

20.1.5 (27 March 2020)

Performance

  • DVT-14431 Improve Refactoring Diagram generation performance

Enhancements

  • DVT-14360 Hyperlinking in tooltips does not work when using XULRunner

  • DVT-14361 Tooltips are not properly resized on slower browsers

  • DVT-14424 Use smart log predefined filter coloring in conjunction with custom log_styles.xml

  • DVT-14425 Build Config: Add support for multiple tops specified with -top top1+top2+top3

  • DVT-14439 Add search hit for port/parameter connected through position

Bugfixes

  • DVT-14155 Add support for Xcelium -xmnote argument

  • DVT-14435 Invalid thread access triggered from Custom Pragmas

  • DVT-14453 Design Hierarchy View: Last nodes are not visible when using GTK3 on some Linux distros

20.1.4 (20 March 2020)

Performance

  • DVT-14366 Theme Engine: Improved the response time when switching between themes in the Themes preference page

Enhancements

  • DVT-12891 Custom Pragmas: Ability to change the color in the UI for pragmas defined through Settings Management

  • DVT-14340 Adjust the dark theme of WaveDrom Diagrams for better readability

Bugfixes

  • DVT-14385 Fixed possible deadlock while typing new name in Rename Wizard

  • DVT-14392 Theme Engine: Diagrams background is not in sync with the UI theme

  • DVT-14396 Design Breadcrumb not hidden on Diagram Editor reuse

  • DVT-14406 Generating Refactoring Diagram might freeze the UI if it contains many side effects

  • DVT-14408 Back in Diagram Editors removes breadcrumb after changing the diagram type

20.1.3 (9 March 2020)

Enhancements

  • DVT-14268 Rename refactoring should show a warning when there is already an element with the new name

Bugfixes

  • DVT-14087 An empty window remains opened after performing a refactoring operation that asks for read-only files handling

  • DVT-14349 Rename Port Across the Design Hierarchy does not stop at record element connections when triggered from a record port

  • DVT-14350 Rename Port Across the Design Hierarchy wizard shows wrong "potential matches found" warning after performing diff and diagram previews

  • DVT-14359 Arrow character in Refactoring Diagrams is not always visible

  • DVT-14365 False warnings issued by SWT automatic configuration

20.1.2 (28 February 2020)

Bugfixes

  • DVT-14322 External Builders stopped working on Eclipse 4.11

20.1.1 (21 February 2020)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 19.1.# hotfix releases for early adopters.

Performance

  • DVT-10937 Design Hierarchy View: Improve performance and reduce memory footprint

Features

  • DVT-2725 Ability to rename a port across the design hierarchy

Enhancements

  • DVT-14286 Design Hierarchy View: Preserve tree scroll state after update

  • DVT-14288 Improved SWT automatic configuration to avoid unstable configurations

  • DVT-14289 Design Hierarchy View: Preserve tree selection after update

  • DVT-14290 Design Hierarchy View: Preserve tree expand state after update

  • DVT-14291 Design Hierarchy View: Do not preserve expand/collapse after update

  • DVT-14292 Design Hierarchy View: Ability to cancel view operations

  • DVT-14293 Design Hierarchy View: Preserve tree selection after clearing the quick search bar

Bugfixes

  • DVT-12982 Wrong hierarchy path determined for signal used in generate block when the last breadcrumb segment is the generate

  • DVT-14180 Can't copy hierarchy path of a port when inside an instance

  • DVT-14181 When copying hierarchy in the Design Hierarchy Ports View, the path contains a dummy element

  • DVT-14246 DVT CLI: openCustomDialog command does not work without the optional -project argument

  • DVT-14263 Power Format: CPF set_instance command is not correctly resolved when changing the scope multiple times

  • DVT-14281 Refactoring status context previewers break in various plug-in combinations

19.1.50 (7 February 2020)

Bugfixes

  • DVT-14222 False warnings issued by SWT automatic configuration

  • DVT-14225 Eclipse 4.7 freezes when working with folders with large file-system hierarchies

  • DVT-14227 Refined tooltip comment rendering when using JavaDoc and Natural Docs

19.1.49 (5 February 2020)

Features

  • DVT-9652 & DVT-12167 Automatically detect and use the best GTK version and Browser engine combination on Linux

  • DVT-14183 Ability to render comments using JavaDoc and Natural Docs in tooltips

Enhancements

  • DVT-13719 Render JavaDoc @link and @see tags in tooltips

  • DVT-14216 Filter out stdout GTK, GLib, etc. warnings for distros based on Eclipse 4.11

Bugfixes

  • DVT-13720 Comments in tooltip should not contain extra new lines

  • DVT-14217 Force Adwaita theme when starting in GTK3 even when UI Light theme is used

  • DVT-14218 User confirmation not required when opening large files from tooltips

19.1.48 (29 January 2020)

Performance

  • DVT-14147 Prevent memory leaks when closing editors

  • DVT-14156 Optimized notification animations

  • DVT-14173 Prevent memory leaks when creating / deleting many files and folders

  • DVT-14191 Prevent memory leaks due to auto-build notification

Enhancements

  • DVT-14131 Sort transitions in FSM Diagram table based on source order

  • DVT-14157 Ignore DVT_LICENSE_FILE containing multiple hard-wired "FLEXLM" strings

Bugfixes

  • DVT-13786 Code Formatting: Disabled formatting regions contribute to vertical alignment formatting

  • DVT-14140 NullPointerException thrown during semantic checking

  • DVT-14162 Code Formatting: Close paren is not properly aligned with open paren when using "Vertical align to open parenthesis"

  • DVT-14165 Code Formatting: Add whitespace after open paren/curly should take precedence over "Vertical align to open paren/curly"

  • DVT-14194 Scope Breadcrumb: Sometimes 'null' labels are displayed after rebuild

Enhancements

  • DVT-14146 Update JRE in distro to Amazon Corretto 8u242

19.1.47 (10 January 2020)

Performance

  • DVT-14128 Improve incremental build time

Enhancements

  • DVT-10990 Add support for Questa library modelsim_lib. See Questa Libraries Compilation

  • DVT-13623 Content Assist: Ability to insert function arguments as single/multi line

  • DVT-14129 Code Formatting: Add preference to unify vertical alignment of signal, constant and variable declarations

Bugfixes

  • DVT-13895 Code Formatting: Wrong indentation for component instantiation port map if the keyword 'component' is missing and other similar cases

  • DVT-14126 Breadcrumb: No update when editor is reused (navigating through search matches or reaching the user defined maximum number of opened editors)

19.1.46 (6 January 2020)

Bugfixes

  • DVT-14117 A superfluous rebuild pop-up is issued after restore for projects without DVT Auto-linked files

19.1.45 (24 December 2019)

Bugfixes

  • DVT-14109 DVT Auto-linked files are broken after project restore

  • DVT-14110 Project description is not properly cleaned when using env vars in DVT Auto-Linked roots in conjunction with +dvt_auto_link_using_links+false

19.1.44 (20 December 2019)

Enhancements

  • DVT-12460 Incremental compile timeout should take into account the number of changed files

  • DVT-13622 Content Assist: Add preference to skip inserting arguments with default values

  • DVT-14083 Scope Breadcrumb: Show generate block elements

  • DVT-14097 DVT CLI: Add new openPerspective command

Bugfixes

  • DVT-11996 Content Assist: Camel case proposals are not properly sorted

  • DVT-13873 Code Formatting: Wrong indentation for labeled "if ... generate" with else branch

  • DVT-13919 Refactoring Rename: Incremental compilation not started when refactoring inside unsaved files

  • DVT-13921 Code Formatting: Wrong indentation of multiple constructs declared inside generate blocks

  • DVT-14069 Content Assist: UI hangs if proposal contains more than 1000 characters

  • DVT-14088 Theme Engine: Searched element is not visible in dark themes

  • DVT-14089 Code Factory "Set Input" action not working with no selected text

  • DVT-14091 Design Breadcrumb: Missing breadcrumb in diagrams generated using "Show Diagram" on an instance type

  • DVT-14092 Theme Engine: UI and editor background not in sync by default

  • DVT-14095 Design Breadcrumb: Missing breadcrumb in diagrams generated from views context menu

  • DVT-14101 HDL Diagrams: Wrong diagram generated when using "Show Diagram" on a entity declaration

19.1.43 (11 December 2019)

Features

  • DVT-14026 Ability to not serialize auto-linked file paths to .project using +dvt_auto_link_using_links+false build directive

19.1.42 (6 December 2019)

Enhancements

  • DVT-10311 Quick Fix: Update instance should preserve ports order

Bugfixes

  • DVT-13810 Inconsistent ordering of VHDL types in Types View

  • DVT-13890 Don't allow launching a run configuration that contains ${dvt_dialog_prompt:non-blocking} with "Launch in background" unchecked

  • DVT-13989 Don't show jump to assignment hyperlink on function variables

  • DVT-13990 Wrong comment type used in Inspect View first line for VHDL (// instead of --)

  • DVT-14032 Fixed "Synchronize UI theme color with editor background" checkbox in Themes preference page

  • DVT-14059 Non-SystemVerilog quick fixes stop working when Verissimo report is opened

  • DVT-14062 Design Breadcrumb: Hyperlinking on a port defined in the breadcrumb scope wrongly updates the input

19.1.41 (28 November 2019)

Enhancements

  • DVT-13958 Accept text selection with module/entity name for Code Factory Set Input

  • DVT-13961 Ability to set Code Factory input from any occurrence of the module/entity name

Bugfixes

  • DVT-13939 Possible memory leak after delta computation in auto-build notification

  • DVT-13945 Sometimes the diagram generation hangs on MacOS due to a JVM bug

  • DVT-13960 Windows dvt.bat script expects DVT_LICENSE_FILE to be FLEXLM when using FlexLM license servers

  • DVT-13971 Sometimes a NullPointerException is thrown when shutting down DVT

  • DVT-13972 False UNDECLARED_IDENTIFIER error for constant used in part select of module port

  • DVT-13974 Design Breadcrumb: Input should be validated before being set

  • DVT-13975 Trace Connections View is not cleaned when the project is closed

19.1.40 (12 November 2019)

Enhancements

  • DVT-13800 Improve VHDL auto-config scanning accuracy

  • DVT-13923 Improve wildcard pattern scanning by sorting paths

19.1.39 (7 November 2019)

Features

  • DVT-10838 Ability to show transitions table in finite-state machine diagrams

  • DVT-13911 Ability to switch between visible editor parts

Enhancements

  • DVT-13175 Pop-up important license related messages

Bugfixes

  • DVT-13773 Syntax Coloring: "@formatter: on/off" pragmas should be colored only when written in lowercase

  • DVT-13844 DVT hangs if project template contains a symlink to the parent directory

  • DVT-13896 Scope Breadcrumb: Copy Qualified Name right click action does not work

  • DVT-13901 "Add from Project Template" does not support symlinks

19.1.38 (31 October 2019)

Enhancements

  • DVT-13746 Ability to process *.qip files using +dvt_autoconfig_force_quartus directive

  • DVT-13843 File compile timeout dialog should suggest using +dvt_skip_compile in conjunction with +dvt_prepend_init

Bugfixes

  • DVT-12724 Build config: In ius.irun compatibility mode, trailing '+' characters of directives are trimmed

  • DVT-13836 Wrong package usages reported in 'use' constructs when searching for a type with the same name

  • DVT-13845 Wrong type usages reported when searching for its enclosing package with the same name

  • DVT-13874 False REDUNDANT_OTHERS_CHOICE semantic warning because of incorrect number of expected case choices

  • DVT-13882 Breadcrumb: Fixed possible deadlock when saving a file with many dependencies

  • DVT-13888 Trigger syntax error for subprogram end label different from subprogram name

  • DVT-13889 Trigger syntax error for subprogram kind difference between ending and beginning

19.1.37 (25 October 2019)

Enhancements

  • DVT-13194 When Ctrl+Space is not set as Content Assist keyboard shortcut, display the active shortcut in a pop-up whenever opening a file

  • DVT-13376 WaveDrom: Ability to render embedded diagrams in tooltips

  • DVT-13798 Project templates: Ability to specify waivers for specific parameter names / files that should not be scanned from the template directory

  • DVT-13855 WaveDrom: Added support for dark theme

Bugfixes

  • DVT-7275 Keyboard shortcuts stop working after pressing "Switch Editor Emulation Mode" (re-focus is required)

  • DVT-8302 In Emacs mode, Content Assist (Alt + /) is overridden by Word Completion

  • DVT-12264 Ctrl+C keyboard shortcut stops working in Eclipse mode after switching to Emacs mode and back

  • DVT-13095 After switching to Emacs mode, the Content Assist command gets duplicated in the Keys preference page

  • DVT-13835 Sometimes the UI is not showing up when starting in a used workspace due to SWTErrors thrown by the browser component

  • DVT-13854 Ctrl+J incremental find keyboard shortcut not working

19.1.36 (21 October 2019)

Bugfixes

  • DVT-13837 Sometimes a BuildCancelException is thrown in the UI when the build is canceled

19.1.35 (18 October 2019)

Bugfixes

  • DVT-13337 Search View label reports "Element references" when searching for library

19.1.34 (11 October 2019)

REMOVED

  • DVT-13811 Removed support for old specador_preferences.xml versions (1 to 5)

Enhancements

  • DVT-13802 WaveDrom: Ability to use comments inside JSON

  • DVT-13808 Specador: Wizard should serialize only user-changed preferences

Bugfixes

  • DVT-13756 Settings Management: Specador project, user and common settings should be merged

  • DVT-13801 WaveDrom: Inspect View should show an error when diagram is not generated

  • DVT-13809 Specador: "Select All" button not working in diagrams wizard page

19.1.33 (4 October 2019)

CLEANUP

  • DVT-13757 Specador: Renamed dvt_export_html.xml to specador_preferences.xml

Features

Enhancements

  • DVT-13292 Code Formatting: Add a preference for disabling formatting lines threshold

  • DVT-13755 Specador: Add diagram preferences wizard page

  • DVT-13771 Do not trigger error for -cm_pragma build config directive

Bugfixes

  • DVT-13731 Build config: Trailing slash not removed from asymmetrically quoted strings (like \\"string\\")

  • DVT-13766 False UNDECLARED_PACKAGE for packages compiled manually in IEEE library

  • DVT-13767 False errors due to wrong resolved type for aliased array

  • DVT-13770 Build Auto-Config: On Windows OS, user-specified files get compiled twice when the build file uses Linux separators

  • DVT-13772 Build config: Infinite loop when using -xlrm with incorrect compatibility mode

  • DVT-13787 Scope Breadcrumb: Switching to an element from another library/package wrongly updates the source breadcrumb

  • DVT-13794 Editor syntax coloring and line number are sometimes broken after using toggle block comment action

19.1.32 (20 September 2019)

Enhancements

  • DVT-13478 Quartus auto-config should print to the build console *.ip files referenced from *.qip files

  • DVT-13564 Ability to build recent changes from the "auto-build turned off" notification

  • DVT-13583 Do not trigger inter-file USAGE_BEFORE_DECLARATION errors when using build auto-configuration or wildcards

  • DVT-13704 Ability to manually specify *.qip files for the Quartus auto-config using +dvt_autoconfig_quartus_qip+<some_file>.qip

  • DVT-13753 Ability to specify scope of USAGE_BEFORE_DECLARATION checks using +dvt_usage_before_declaration_check+NONE|ALL|INVOCATION|FILE

Bugfixes

  • DVT-13567 ViPlugin: Trigger notification when editing read-only files

  • DVT-13644 Quartus auto-config does not handle correctly white-spaces in the compilation root

  • DVT-13697 Run Configuration shortcut image background is white if "-options disable_cairo" is set

  • DVT-13706 Jump to assignment breaks consistency of editor breadcrumb

  • DVT-13709 Semantic Search: No cross language matches found for SystemVerilog/VHDL elements

  • DVT-13712 Run Configuration shortcut image is not properly created on Windows

  • DVT-13736 Trace Connections View sometimes throws exceptions when opened from minimized state

  • DVT-13752 Debugger integration fails with "connection timeout"

19.1.31 (5 September 2019)

Enhancements

  • DVT-8535 Build Auto-Config: Ability to specify multiple scan roots

  • DVT-13044 Add support for -setenv build configuration directive

  • DVT-13698 "Show build log file content" console action should provide more details when the log file is not available

Bugfixes

  • DVT-13689 Support symlinks in Project Templates

  • DVT-13708 Selection in diagram does not trigger Inspect View in some cases

  • DVT-13714 Fixed missing API for dvt_build.sh custom report generator

19.1.30 (29 August 2019)

Performance

  • DVT-13674 Improved instance port connections checking time

  • DVT-13666 Improved Inspect View update time in diagrams for large files

Features

  • DVT-10979 Hyperlink should check file size and not open / warn if it is too big

  • DVT-13485 Ask for user confirmation when trying to open large files

Enhancements

  • DVT-7442 Ability to quickly open a file from the same folder with the current editor

  • DVT-11260 Ability to generate custom dvt_build.sh report

  • DVT-13663 Breadcrumb: Add preference to disable notifications

  • DVT-13671 Breadcrumb: Notifications should be displayed only when opening a file

  • DVT-13691 Add Port/Parameter Quick Fix: Add ability to change the port/parameter datatype and width

  • DVT-13692 Add Parameter/Generic Quick Fix: Add ability to change the parameter/generic default value

Bugfixes

  • DVT-13675 Build Auto-Config is broken when specifying +dvt_init_auto with additional top files outside the active build config file (using -f)

  • DVT-13681 Mixed language rename refactoring not working when triggered on VHDL element name from SV editor and vice-versa

  • DVT-13688 Mixed language rename refactoring misses replacement of VHDL element names in SV macro calls

  • DVT-13690 Add Port/Parameter Wizard: Port/Parameter name field should be read only when triggered from quick fix

  • DVT-13695 False UNDECLARED_PACKAGE 'TEXTIO' on Windows OS

19.1.29 (20 August 2019)

Enhancements

  • DVT-13655 Force Adwaita theme when starting in GTK3

  • DVT-13656 Set default colors for notifications, breadcrumb, filter boxes and tooltip

Bugfixes

  • DVT-13652 Unable to generate diagrams from the editor's context menu

  • DVT-13653 UI slow-down when displaying huge flat trees with shallow depth

  • DVT-13659 Breadcrumb: Updates should be triggered only when the input changes

  • DVT-13660 Design Breadcrumb: Wrong computation for other instances of the current element

19.1.28 (14 August 2019)

Features

  • DVT-13597 Ability to specify editor associations using +dvt_editor_association_override build config directive

Bugfixes

  • DVT-12101 Build Auto-Config: Should not infer tops which are already specified within the +dvt_init_auto section

  • DVT-12895 Scope Breadcrumb: Wrong computation for elements inside a protected type

  • DVT-12994 Build Auto-Config: Avoid duplication of compiled tops in mixed-lang projects

  • DVT-13011 Build Auto-Config: Compilation ignores other files specified in default.build if no file is found during file-system scan

  • DVT-13586 Show warnings promoted to error in the Console View build log

  • DVT-13608 False error thrown for special unicode character U+FFFD used in a string literal

  • DVT-13617 Call stack is not available when debugging with certain Questa versions

  • DVT-13620 Syntax Coloring: Highlight does not work for files outside project

19.1.27 (2 August 2019)

Enhancements

  • DVT-11250 & DVT-12413 Report XML errors for compile waivers

Bugfixes

  • DVT-9287 Suppress irun.history file generation when irun is invoked at startup

  • DVT-11956 & DVT-13595 Settings Management: Keyboard shortcut deletion is not handled correctly

  • DVT-12749 Design Breadcrumb: Wrong breadcrumb shown when switching projects

  • DVT-13587 NullPointerException thrown on project restore when breadcrumb is active

  • DVT-13589 Breadcrumb: Resizing editor while breadcrumb is read-only forces a refresh

  • DVT-13590 Breadcrumb: Icons change their vertical position when resizing the editor

  • DVT-13592 Code Factory: "Create From Template" does not work in Windows

19.1.26 (26 July 2019)

Performance

  • DVT-12457 Improved Breadcrumb Navigation Bar performance

Enhancements

  • DVT-11261 Ability to see to how many problems a compile waiver was applied in the dvt_build.log file

  • DVT-12069 Ability to specify the icon path of a Run Configuration relative to the path of the .launch file

  • DVT-12674 Architectures, components and configurations are not shown in Types View

Bugfixes

  • DVT-13559 Do not throw USAGE_BEFORE_DECLARATION errors in non-top-files

  • DVT-13575 When trying to rename a labeled if/case/loop statement, the end label is not changed

  • DVT-13580 No error reported when if/case/loop start label is different from end label

  • DVT-13584 Run configuration's generated icons contain graphical artifacts on newer JREs

19.1.25 (19 July 2019)

Enhancements

  • DVT-10871 Throw error when formal out port is connected to an expression and not a signal

  • DVT-11760 Show a visual indicator in the UI when automatic build for a project is turned off

  • DVT-13474 Extended support for attributes

Bugfixes

  • DVT-13507 Design Hierarchy View does not propose configurations when selecting a top

  • DVT-13555 False UNDECLARED_IDENTIFIER error in configuration rule for component instance with no port map and no generic map

  • DVT-13561 Console filters stopped working on Eclipse 4.11 due to API change

19.1.24 (11 July 2019)

Features

  • DVT-13444 DVT CLI: Add new rebuildProject command

Enhancements

  • DVT-11537 DVT CLI: openFile command should support multiple file arguments

  • DVT-12223 Improved support for external names

  • DVT-12553 Add a link in diagram editor preferences dialog to open workspace diagram preferences

  • DVT-13004 CDT Integration: Do not show anonymous elements in the Types View for typedef'd structs/enums/unions

  • DVT-13354 Ability to hide "User Operation is waiting" dialog (when saving a file during build/restore)

  • DVT-13484 Ability to show build auto-config scan progress in the Console View using +dvt_autoconfig_debug+SCAN

  • DVT-13481 Diagrams: Display the number of edges and nodes created until the diagram generation fails

Bugfixes

  • DVT-12847 DVT CLI: Invoking the dvt_cli.sh script right after closing DVT causes "did not respond to probe" errors

19.1.23 (4 July 2019)

Performance

  • DVT-13406 CDT Integration: Reduce the number of Codan checks enabled by default

  • DVT-13489 CDT Integration: Improve navigation performance in large C/C++ files when in scalability mode (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

Features

  • DVT-13420 CDT Integration: New C/C++ Extended perspective

Enhancements

  • DVT-10416 CDT Integration: Ability to set the CDT file types using the +dvt_cdt_file_type_map build configuration directive

  • DVT-12558 CDT Integration: Add IEE std. sv_vpi_user.h, svdpi.h, vpi_compatibility.h, vpi_user.h to DVT predefined libs and use them in +dvt_init+dvt

  • DVT-13114 CDT Integration: Automatically set CDT file types for all source and header files detected in the scanning phase of a C/C++ project

  • DVT-13150 Ability to use random colors in diagram filters

  • DVT-13426 CDT Integration: Ability to specify when CDT Codan should run using +dvt_run_codan+FULL+INCR

Bugfixes

  • DVT-9241 CDT Integration: Hyperlink to #included file should always open the C Editor

  • DVT-13405 CDT Integration: Disable all CDT Codan launch triggers by default

  • DVT-13414 CDT Integration: Non-top C/C++ files are not excluded from indexing during the first build after project creation

  • DVT-13504 Paging through opened editors gets stuck in DVT build configuration editors

  • DVT-13483 No jump to assignment when the only assignment is from an hierarchical element up the design hierarchy

  • DVT-13505 ViPlugin: Fixed ExecutionException on Eclipse 4.11

19.1.22 (1 July 2019)

Enhancements

  • DVT-13409 Ability to customize GTK2 theme font in the new DVT Theme Engine

  • DVT-13482 Ability to customize all editor fonts in the new DVT Theme Engine

Bugfixes

  • DVT-11306 Loop parameter is resolved incorrectly when the expression contains 'RANGE (N)'

  • DVT-12022 False error for array selects following an attribute call

  • DVT-13184 NotHandledException thrown when double clicking on commands from Quick Access bar

  • DVT-13457 Custom Pragmas preference page is unreadable in dark themes

  • DVT-13466 Syntax Coloring: Coloring is not updated when scrolling

19.1.21 (21 June 2019)

Performance

  • DVT-13425 Syntax Coloring: Syntax and semantic highlighting performance improvement

Enhancements

  • DVT-12835 Add support for jumping outside brackets

  • DVT-13416 Improve New Project Wizard look & feel

Bugfixes

  • DVT-9490 METHOD_CALL_ARGUMENTS errors are not cleaned at incremental for a method call in a different file

  • DVT-12965 CDT Integration: Ability to add C/C++ natures from New DVT Project Wizard

  • DVT-13113 CDT Integration: CDT GCC Built-in Compiler Settings command is not expanded correctly

  • DVT-13398 False UNDEFINED_SUBPROGRAM error due to missing "mod" function from package ieee.math_real

  • DVT-13407 DVT CLI: The "-include auto" flag should not hide project settings

  • DVT-13410 Design Breadcrumb: Back after a Show Connections Between action in Diagram Editors removes breadcrumb

  • DVT-13419 CDT Integration: C/C++ files are still indexed after being removed from default.build

  • DVT-13421 Missing enum item values when auto-completing an STD_(U)LOGIC context

  • DVT-13422 Different autocomplete proposals when moving cursor one space but not changing context

  • DVT-13424 CDT Integration: Sometimes Codan errors are not cleared by a full build

  • DVT-13427 Search View is not populated when "Pin the Search View" option is enabled

  • DVT-13436 Custom Pragmas: Selected color is not used in the editor

  • DVT-13448 Design Breadcrumb: Open Instance action should not be available on root elements

19.1.20 (7 June 2019)

Features

Performance

  • DVT-13388 Improve build performance for large projects

Enhancements

  • DVT-11335 Add support for Vivado XPM library to +dvt_init_xilinx configuration

Bugfixes

  • DVT-13329 Design Diagrams: Connections between two instances are sometimes not collapsed in the flow diagram

  • DVT-13331 Code factory: Built-in VHDL component template does not specify port direction

  • DVT-13385 False SUBTYPE_INDICATION error for variable alias reported at full build

  • DVT-13394 Design Diagrams: Breadcrumb / Step out not working in diagrams generated from the VHDL editor context menu

19.1.19 (4 June 2019)

Bugfixes

  • DVT-13389 DVT CLI issues "Unknown command" for all commands except createProject, openFile, compareFiles

19.1.18 (31 May 2019)

Features

  • DVT-7198 & DVT-11685 New DVT Theme Engine for editors and widgets based on Eclipse Color Themes plugin

  • DVT-8271 Add Theme Engine support for Python (PyDev plugin)

  • DVT-9705 Add preference to invert diagram colors

  • DVT-10084 Add Theme Engine support for LUA (LDT plugin)

  • DVT-12001 Add Theme Engine support for TCL (TCL DLTK plugin)

  • DVT-12541 Add Desert theme to Theme Engine

  • DVT-12948 New semantic check: Usage before declaration

  • DVT-13127 Add diff command to DVT CLI

  • DVT-13384 New ${dvt_sim_compile_file_list} run configuration variable

Enhancements

  • DVT-13379 Trigger errors in configurations

Bugfixes

  • DVT-11048 Do not restore when using CLI createProject command

  • DVT-11521 Relative paths inside makelib sections in files loaded using -F are not solved correctly

  • DVT-11640 Disable default Eclipse Font Zoom commands for Eclipse 4.6 (Neon) and newer

  • DVT-13060 Black on white background in Console View with Dark Theme when running a run configuration

  • DVT-13206 Add separated color preferences for notifications and filter boxes

  • DVT-13308 Wrong references for function arguments

  • DVT-13315 Syntax Coloring: Previewer in Preference Page does not get updated properly on Windows

  • DVT-13318 In ius.irun compatibility mode -cds_implicit_tmp_dir, -parseinfo, -bbox_create, -bbox_link directives are generating errors

  • DVT-13330 Elements in Trace Connections View turn black (unreadable in Dark Themes) when selecting "Show Path" for multiple ports

  • DVT-13332 Search for Instances: programs/interfaces instances are not reported in VHDL code

  • DVT-13370 Auto-instantiation throws error when module or entity is defined in a non-top file

19.1.17 (16 May 2019)

Enhancements

  • DVT-10683 Design Diagrams: Add keyboard shortcut for Open Declaration context menu action (F3)

Bugfixes

  • DVT-12119 False error when an alias to enumeration value has signature

  • DVT-13286 Trace Diagrams: Architecture port labels overlap with other port labels

  • DVT-13305 False error when aliased type is a variable of unknown type

19.1.15 (9 May 2019)

Enhancements

  • DVT-12745 Add new external tools variable ${dvt_path_to_signal_under_cursor}

  • DVT-13033 Add entity name in tooltip for elements declared inside its architectures

Bugfixes

  • DVT-1444 Node locked license doesn't work when the bound network interface is down (fix for Linux)

  • DVT-13089 Custom Pragmas: Pragmas with first word bounded by non-alphanumeric characters are not collected properly

  • DVT-13278 False TYPE_MISMATCH semantic error when assigning a subtype_indication record element slice

  • DVT-13279 False UNDECLARED_SUBPROGRAM semantic error for logical operator between one-dimensional boolean arrays

  • DVT-13287 Fixed the support for directory license sources to scan for license files (*.lic)

  • DVT-13288 Sometimes an exception is thrown when a deleted file is still open in the editor

19.1.14 (6 May 2019)

Bugfixes

  • DVT-13275 Scripts warn about "unset GTK_IM_MODULE=1 not a valid identifier"

  • DVT-13276 SVN and GIT plugins can't be installed using dvt_kit_installer.sh inside Eclipse 4.11 distros

19.1.13 (25 April 2019)

REMOVED

  • DVT-13259 Removed 32 bit Linux and Windows distros

Features

  • DVT-13230 Add new distros based on Eclipse 4.11

Enhancements

  • DVT-13232 Design Breadcrumb: Open Declaration hyperlink on a port should correctly track the instance path

Bugfixes

  • DVT-8753 Wrong jump to assignment to input port connections instead of assignment

  • DVT-13096 Wrong jump to assignment to inactive code

  • DVT-13257 Fixed sporadic JVM crashes appearing when files were changed outside DVT while being parsed

19.1.12 (19 April 2019)

Performance

  • DVT-12383 Compare Viewer is very slow on huge files

Bugfixes

  • DVT-13207 Design Breadcrumb: Computation forces Design Hierarchy View opening

  • DVT-13229 Incorrect expression for generate else branch label in Design Hierarchy view

19.1.10 (11 April 2019)

Enhancements

  • DVT-12379 Mark Occurrences should be case insensitive in VHDL

Bugfixes

  • DVT-11386 False DUPLICATE_ELEMENT errors for elements with the same name declared on different conditional generate branches

  • DVT-13192 Syntax Coloring: Preview pane in the Preference Page does not get updated properly

19.1.9 (5 April 2019)

Enhancements

  • DVT-13108 CDT Integration: Add predefined content filters for C/C++ system headers, PSS C headers and SystemC headers

  • DVT-13109 Add +dvt_skip_compile support for C/C++

  • DVT-13151 Custom Dialogs: <dvt:Container> enabler attribute should support Combo and Text widgets

Bugfixes

  • DVT-12825 Search View: Label always shows 0 matches when inspecting a previous search result from search history

  • DVT-13087 Search View: When inspecting previous search results, the active filters are not working

  • DVT-13119 Search View: Wrong number of matches are reported in file labels when content/category/quick search filters are applied

  • DVT-13146 False UNDECLARED_IDENTIFIER semantic error for block name in multi-level block configuration

19.1.8 (28 March 2019)

Performance

  • DVT-13126 Improve incremental build time after removal of some unnecessary recompiled dependencies

  • DVT-13137 Improve build performance for large projects

Enhancements

  • DVT-13091 Breadcrumb: Focus first element which matches the search filtering

Bugfixes

  • DVT-13129 False SENSITIVITY_UNUSED semantic warning when signal is used in case item expression

  • DVT-13136 ArrayIndexOutOfBounds thrown by DLTK plugins when collecting task tags (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

  • DVT-13141 Breadcrumb: Special characters should not be appended in quick search bar

19.1.6 (22 March 2019)

Performance

  • DVT-13070 Syntax Coloring: Improving Semantic Coloring performance for big files

Enhancements

  • DVT-12964 DVT CLI: Ability to get the path of the currently edited file

  • DVT-12970 ViPlugin: Ability to see the total number of matches when performing a search

  • DVT-13041 Breadcrumb: Ability to filter elements while navigating in the dropdown children list

  • DVT-13065 Disable "Show most recently used tabs on overflow" preference in DVT distros

  • DVT-13079 Prompt to refresh files which are out of sync when searching for instances

  • DVT-13083 Trace Connections: Ability to view sources and destinations as a list

Bugfixes

  • DVT-12774 Selecting a port in Design Hierarchy should jump to the connection port

  • DVT-13051 No search hits reported when inspecting previous "search for instances" results in search history

  • DVT-13055 No matches reported for elements usages in subprogram and package instantiation

  • DVT-13067 No match reported for subtype indication usage in alias declaration

  • DVT-13068 False matches reported in for loop when searching for 'Integer' predefined type

  • DVT-13069 Report potential matches for ambiguous function usages

  • DVT-13072 Add support for end line anchor "$" in Console Filters

  • DVT-13078 Custom defined console filter pattern displays wrong hyperlink position for indented message

  • DVT-13080 No search matches reported for out-of-sync files

  • DVT-13085 Show only files with errors in Compile Order view is not working for C/C++

  • DVT-13098 Quick Fix: Remove Unused Signal not working for signals declared in architectures

19.1.5 (14 March 2019)

Features

  • DVT-13028 Add new distros based on Eclipse 4.9

Enhancements

  • DVT-11534 Add ${selected_resource_line} variable to launch configurations

  • DVT-12494 Search View label should show the full name of the searched element

  • DVT-13030 CDT Integration: Ability to set and run DVT external builders on C/C++ only projects

  • DVT-13032 Project Templates: Add "year" predefined parameter

Bugfixes

  • DVT-12993 CDT Integration: Content Filters not working for element-text and for element-type=LINKAGE

  • DVT-13013 False UNDECLARED_IDENTIFIER error when instantiating a subprogram with generics

  • DVT-13015 False UNDECLARED_IDENTIFIER when aliasing a subprogram instance

  • DVT-13016 Design Diagrams: "Show Connections Between" does not work between instance and internal gate

  • DVT-13020 Inconsistent open declaration hyperlink for generic parameters in function body/prototype

  • DVT-13021 Inconsistent reference hits for generic parameters in subprogram instantiations

19.1.4 (8 March 2019)

  • DVT-12971 Process connections through variables do not appear in schematic diagrams

Features

  • DVT-12799 Extract to variable refactoring in VHDL

Enhancements

  • DVT-12903 Breadcrumb: Use down arrow to access dropdown children list

  • DVT-12904 Scope Breadcrumb: Display entity instances in the navigation bar

  • DVT-12923 CDT Integration: Add support for cflags with whitespace separator (like -D NAME=value)

  • DVT-12972 DVT CLI: For the createProject command, demote non-existing -lang error to warning

Bugfixes

  • DVT-10288 Build config: -defineall directive does not define C preprocessing symbols

  • DVT-10816 Incorrect range specifier "downto"/"to" when instantiating an entity inside a module

  • DVT-12385 Breadcrumb: Drop-down menu search description is not visible

  • DVT-12858 Wrong place of declaration when declaring a variable from under <process>.<if block>

  • DVT-12911 Breadcrumb: Display tooltip for root elements

  • DVT-12966 CDT Integration: Sometimes source paths extracted from .so files contain are based in the build directory instead of the actual location

  • DVT-12973 Build config: Ability to specify mappings for file extensions containing the dot '.' character

  • DVT-12980 CDT Integration: Compile Order View is not populated after enabling C/C++ Extended Language for a pre-19.1.1 mixed SV+C project

  • DVT-12985 Sometimes the Undo operation never ends if the modified file contains configurations

  • DVT-12995 ViPlugin: Visual-line-mode edit operations should not be allowed on read-only files

  • DVT-12997 Breadcrumb: Toggle button takes effect only on visible editors

19.1.3 (4 March 2019)

Enhancements

  • DVT-12827 ViPlugin: Add support for 'G' command to move to the endline while in visual block mode

Bugfixes

  • DVT-12798 ViPlugin: Abbreviations should not expand if the there is an alpha-numeric string before the abbreviation

  • DVT-12829 ViPlugin: Visual mode edit operations should not be allowed on read-only files

  • DVT-12894 ViPlugin: Copy paste in block visual mode should not add a new line at the end of each copied row

  • DVT-12963 Incorrect interpretation of expression as bit string literal

19.1.2 (28 February 2019)

Enhancements

  • DVT-12925 Add log4j.config in DVT distros to disable EGit stdout warnings

Bugfixes

  • DVT-12922 CDT Integration: The gcc scan is not triggered during the first build after creating a project via the DVT CLI

  • DVT-12926 Sometimes incremental build never ends after a project restore

  • DVT-12927 Stack overflow error thrown when declaring a signal of a circularly defined record type

  • DVT-12951 Force license status indicator on the right side of the status bar

19.1.1 (22 February 2019)

Starting with the 19.1.1 major release our products are no longer compatible with old FlexLM license servers (<11.14).

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 18.1.# hotfix releases for early adopters.

  • Faster references engine to speed-up search for usages, rename, and semantic search operations

  • Enhanced UPF/CPF editor functionality and power domain visualization including Supply Network Diagrams

  • Enhanced diagrams functionality. See Design Diagram Actions

  • New Scope Breadcrumb Navigation Bar in editors

  • Ability to define code regions with customizable editor behavior using Custom Pragmas

  • Enhanced C/C++ support including the ability to browse C/C++ elements in Types View, Macros View, and Compile Order View

REMOVED

  • DVT-3913 Removed support for DVT specific file licenses, migrated to FlexLM file licenses

  • DVT-12877 Removed support for FlexLM tools and daemon versions <11.14

  • DVT-12881 Removed support for +dvt_incremental_compile_mode build directive

  • DVT-12880 CDT Integration: Remove +dvt_gcc_link_system_headers build configuration directive

Performance

  • DVT-6507 Improve semantic search and rename refactoring performance

  • DVT-12845 Switched to G1 garbage collector in DVT distros

Features

  • DVT-6546 Queue on the license server when all licenses are in use

  • DVT-7325 CDT Integration: Show C/C++ files in the DVT Compile Order View

  • DVT-12106 CDT Integration: Ability to pass additional arguments to gcc while scanning the included files and to CDT project settings

  • DVT-12350 CDT Integration: Show C/C++ defines in the DVT Macros View

  • DVT-12567 CDT Integration: Exclude from CDT indexing the C/C++ files which are located within the project but not part of the DVT build configuration

  • DVT-12878 Added license status indicator in the status bar

  • DVT-12882 CDT Integration: Show C/C++ files in the DVT Compile Types View

  • DVT-12883 CDT Integration: Add built-in Content Filters for common libraries (C/C++ system headers, SystemC, PSS C)

Enhancements

  • DVT-2497 Ability to use DVT_LICENSE_FILE environment variable for FlexLM licenses

  • DVT-6472 Narrow the search scope when doing refactoring/references on local variables

  • DVT-7307 CDT Integration: Re-index C/C++ projects when DVT build is triggered

  • DVT-11944 Ability to show connections from the enclosing module to its sub-instances in flow diagrams

  • DVT-12694 CDT Integration: Ability to create a standalone C/C++ project using the DVT CLI

Enhancements

  • DVT-11534 Add ${selected_resource_line} variable to launch configurations

Bugfixes

  • DVT-12806 Design Diagrams: Ability to show Schematic Diagram from right click context menu on ports in the Design Hierarchy View ports pane

  • DVT-12831 No references reported in SystemVerilog .* port connections when searching for a port of a VHDL entity

  • DVT-12840 Design Diagrams: Ability to trigger "Show Sources"/"Show Destinations" on instances and logic gates

  • DVT-12857 Rename refactoring fails on SystemVerilog .* and implicit port connections when triggered from a VHDL entity port

  • DVT-12865 Design Diagrams: Ability to perform "Delete" action in Flow Diagrams

  • DVT-12867 Design Diagrams: "Step in"/"Step out" actions do not preserve diagram preferences and filters

  • DVT-12868 Design Diagrams: "Hide unconnected ports" and "Hide blocks without ports" do not work after performing diagram actions

  • DVT-12889 When searching for a package, wrong hit reported on instance with the same name

  • DVT-12890 Syntax Coloring: Incorrect color for Enumeration Literals in dark themes

  • DVT-12943 Searching for 'work' library reports false hits in 'use work...' blocks where "work" is resolved to other project library

18.1.50 (27 February 2019)

Bugfixes

  • DVT-12926 Sometimes incremental build never ends after a project restore

18.1.49 (21 February 2019)

Bugfixes

  • DVT-12887 ConcurrentModificationException thrown when scope breadcrumb updates during incremental compilation

18.1.47 (15 February 2019)

Bugfixes

  • DVT-12849 NullPointerException thrown when triggering content assist on cross-language port connection bind

18.1.45 (13 February 2019)

Performance

  • DVT-12838 Improve build performance by reducing time spent in RT (Resolve Types) step

Features

  • DVT-12834 Ability to generate build scripts from the active build configuration and user-provided templates using ${dvt_compile_script} variable

Enhancements

  • DVT-12487 Diagrams: Indicate which preference to tune if diagram generation fails due to timeout

  • DVT-12555 Design Diagrams: Ability to set the default diagram type: block, flow or schematic

Bugfixes

  • DVT-12323 False error reported when calling <pkg>.deallocate(<string acces>)

  • DVT-12779 Design Breadcrumb: Using "Back" button in Diagram Editors breaks the path displayed in the breadcrumb

  • DVT-12797 Design Diagrams: "Show Diagram" in the Trace Connections View opens a new editor after "Trace in New Diagram" action in schematic diagram

  • DVT-12805 Design Diagrams: Sometimes the "Go To Source" action on a logic block in a mixed language diagram opens the file in the wrong language

  • DVT-12807 Design Diagrams: "Back" button does not work properly after performing diagram actions

  • DVT-12813 Design Diagrams: "Show sources/destinations" does not show logic details when the preference is enabled

  • DVT-12814 Design Diagrams: "Select Instance" breadcrumb button does not update the diagram

  • DVT-12815 Design Diagrams: Sometimes an empty trace diagram is generated for trace paths

  • DVT-12816 Design Diagrams: Filter colors are not applied to connections in detailed logic blocks

  • DVT-12817 Design Diagrams: "Show Connections" action is not available when selecting both instances and logic blocks

  • DVT-12818 Design Diagrams: Actions that change the diagram top lead to inconsistent results (step out, show connections to a higher level in the design, etc)

18.1.43 (1 February 2019)

Performance

  • DVT-12773 Improved full build performance

Features

  • DVT-8022 New scope breadcrumb navigation bar replacing scope information in the status bar

  • DVT-8602 Ability to expand/collapse a module in Design Diagrams

  • DVT-9642 Ability to show sources/destinations for ports in Design Diagrams

  • DVT-12151 Power Format: Ability to generate Supply Network Diagram

  • DVT-12791 Power Format: Add supply ports information in HDL Diagrams

Enhancements

  • DVT-11347 Power Format: Add support for 'set_design_top' UPF command

  • DVT-11467 Ability to show connections for ports in Design Diagram

  • DVT-12765 Power Format: Trigger error when trying to refer an undefined power domain

  • DVT-12780 Trigger error for non-existing loop label in next and exit statements

  • DVT-12781 Trigger error for unexpected non-loop label in next and exit statements

  • DVT-12782 Trigger UNDECLARED_IDENTIFIER for instance name and component type in architecture configuration_specification

  • DVT-12784 Show connections for instances and signals in Design Diagram should not pass through logic gates

Bugfixes

  • DVT-12623 Design Hierarchy View history drop-down should always show architecture name

  • DVT-12757 New DVT File -> Pick Template dialog does not work on Windows

  • DVT-12760 CLI importProject command closes other existing projects even when -close_others is not specified

  • DVT-12762 Add external tools filter for IUS UPF / CPF errors

  • DVT-12763 Content assist in Perforce unadded file triggers checkout warning

  • DVT-12778 Content assist does not propose aliases to procedures

18.1.42 (15 January 2019)

Performance

  • DVT-12756 Improve memory footprint for environments containing large designs

Features

  • DVT-7508 Ability to copy full hierarchy path of a wire from the Editor and Design Hierarchy View

  • DVT-12726 Update all distro plugins to the latest version

Enhancements

  • DVT-12676 Ability to change the separator when copying the hierarchical path of an instance or signal

  • DVT-12746 Add new external tools variable ${dvt_clipboard} which solves to the clipboard contents

  • DVT-12753 Avoid unnecessary Design Hierarchy View updates on incremental compilation

Bugfixes

  • DVT-12725 Downgrade Perforce plugin to version 2018.1 to avoid NullPointerException

  • DVT-12744 Wrong icon in the Trace Connections view for an architecture without traced signals

  • DVT-12759 Semantic highlight broken when using toggle comment or replace all in a file

18.1.41 (13 December 2018)

Features

  • DVT-12677 Add "Create component" code template to factory defaults

  • DVT-12678 Code factory: Ability to use custom templates

  • DVT-12239 Ability to define code regions with customizable editor behavior: folding, background color, "read-only" visual indication when edited

Enhancements

  • DVT-12635 Syntax Coloring: Add separate semantic highlight categories for VHDL constants and enums

Bugfixes

  • DVT-12143 Semantic highlight is broken after refactoring operations which touch inactive open editors

  • DVT-12324 False error reported on 'use <lib>.<pkg>.<type unit>'

  • DVT-12622 Wrong tooltip on entity with same name as library

  • DVT-12691 Opening files with dvt.bat on Windows doesn't work

  • DVT-12695 Sometimes NullPointerException is thrown after full build

18.1.40 (7 December 2018)

Features

  • DVT-11589 Add new "Show Instances" hyperlink action to see all instances of a Verilog/VHDL design element

  • DVT-12497 Add new "Open instance" hyperlink action to jump from a module/entity to its instance (in the current breadcrumb navigation bar context)

Enhancements

  • DVT-12326 DVT CLI: Ability to create resource filters that match symlinks using -exclude|include flags

Bugfixes

  • DVT-4776 +dvt_auto_link_root+ should take into account the environment variables defined through +dvt_setenv+

  • DVT-12445 Breadcrumb Navigation Bar and Design Hierarchy View open a normal SystemVerilog Editor instead of a PVerilog Editor when the element is in a generated file

  • DVT-12486 Predefined Content Filters for Xilinx libraries don't work on Windows for DVT_XILINX_HOME paths containing lowercase device letter

  • DVT-12585 No hyperlink on elements declared in SystemVerilog and instantiated in VHDL

  • DVT-12599 Xilinx Auto-config should force questa.vcom compatibility mode

  • DVT-12601 False UNDECLARED_IDENTIFIER for aliases to subtypes

  • DVT-12611 Breadcrumb: NullPointerException when changing project nature

  • DVT-12613 Trace drive and load does not go through buffer ports

  • DVT-12621 False errors for loop parameters under generate blocks

  • DVT-12636 Breadcrumb: Enforce GUI update when changing project nature

18.1.39 (29 November 2018)

Bugfixes

  • DVT-12408 Breadcrumb: When using -options disable_cairo the breadcrumb buttons' background is black

  • DVT-12495 No search results when re-running a previous search query after full build

  • DVT-12586 Sometimes Project Templates history is not recording previous parameter values

  • DVT-12589 Separate errors from warnings when limiting the number of problems of a certain kind in a particular file

18.1.38 (19 November 2018)

Bugfixes

  • DVT-12575 CDT method completion is slowed down (patch for CDT 9.2.1, 9.4.3, Eclipse Bug 534189)

  • DVT-12576 java.lang.NoSuchMethodError thrown in multiple UI components on Eclipse Luna 4.4.1 distros

18.1.37 (15 November 2018)

Performance

  • DVT-12565 Breadcrumb: Hyperlinks slow down due to Select Instances computation

Enhancements

  • DVT-10103 Project colors: Color background instead of foreground by default

  • DVT-12561 Add deprecated license daemon notice

Bugfixes

  • DVT-9349 CDT Integration: Sometimes CDT hangs due to an improper DVT listener

  • DVT-12064 CDT Integration: DVT CDT Builder does not run for a project created via the DVT CLI

  • DVT-12104 CDT Integration: Remove the language specification argument from gcc invocation when scanning the C/C++ included files

  • DVT-12548 Breadcrumb: Background color of icons changes when changing any color preference

  • DVT-12566 Build config: In ius.irun mode all extension mappings should be taken into account for each top file

18.1.36 (9 November 2018)

Enhancements

  • DVT-12108 Ability to change hyperlink color

  • DVT-12191 Build config: New +dvt_skip_directive which allows skipping any subsequent build config directive

Bugfixes

  • DVT-12496 Semantic error markers disappear when checking out files using Cliosoft SOS revision control system

  • DVT-12508 CDT Integration: Sometimes source paths extracted from .so files contain an additional whitespace

  • DVT-12515 Syntax Coloring: Coloring is sometimes broken after non-alphanumeric characters

  • DVT-12523 Multiple UNDECLARED_IDENTIFIER for alias declaration

18.1.35 (2 November 2018)

Performance

  • DVT-12456 Local license client takes a long time to respond leading to UI freezes

  • DVT-12480 Fixed memory leaks when opening large files

Enhancements

  • DVT-12493 Add support for Find Next/Prev actions in the Console View

Bugfixes

  • DVT-7155 Variables set via +dvt_setenv are not expanded in compile waivers "path" attributes

  • DVT-12361 Variables set via +dvt_setenv are not expanded in compile waivers <include/> tags

  • DVT-12400 Search View: Scope information is missing for cross-language hits

  • DVT-12416 DVT CLI: createProject -include auto:<threshold> should not affect the .dvt folder

  • DVT-12429 Breadcrumb: False no design elements in file if they are defined in other non-design element

  • DVT-12472 False TYPE_MISMATCH error thrown for conditional variable assignment with an aggregate

  • DVT-12473 Stack Overflow when using range attribute from a shadowed identifier

  • DVT-12478 False MISSING_CONSTRAINT and TYPE_MISMATCH errors due to 'range attribute

18.1.34 (25 October 2018)

Performance

  • DVT-12443 Improved build time performance when TCL plugin performs checks on non-script files

  • DVT-12449 Opening a relative path from the embedded terminal might be slow on large projects

Features

  • DVT-12442 Added dvt_cli.sh -svn flag to createProject and importProject commands for automatically associating a project with the Subversive SVN plugin

Enhancements

  • DVT-12355 Open path under cursor from embedded terminal using Ctrl + click instead of double click

  • DVT-12437 Add "Copy Workspace Path" command to quickly copy to clipboard the path to the DVT workspace directory

Bugfixes

  • DVT-12415 False UNDECLARED_IDENTIFIER semantic error for cross-language signal path that contains a VHDL configuration instantiation

  • DVT-12414 False TYPE_MISMATCH semantic error for aggregate used to initialize multi dimensional array

18.1.32 (12 October 2018)

REMOVED

  • DVT-12371 Removed "Show in Local Terminal -> Terminal" context menu action overlapping "Open Terminal Here" action

Performance

  • DVT-12382 Quick Search in Search View is very slow for projects shared over a slow revision control connection

Features

  • DVT-2991 Add Bash Editor plugin to DVT distribution

  • DVT-5511 & DVT-6201 & DVT-11928 Ability to use some DVT generic features without having a DVT project opened by setting a license force checkout system variable

  • DVT-11853 Add Gerrit Code Review plugin to DVT distros (except for Eclipse 4.4.1)

  • DVT-12234 Add Collaborator (v11) plugin to DVT distros

Enhancements

  • DVT-5475 DVT CLI should use by default the Java network client implementation

  • DVT-10714 Build Config: +dvt_setenv+ should not trigger warning on left hand operand in appends

  • DVT-11983 Power Format: Add support for predefined HDL API

  • DVT-12007 Build Config: Do not trigger error for -xlrm uniq_prior_final directive

  • DVT-12354 Semantic Search (Ctrl+H) should open the appropriate search page regardless of the file extension

  • DVT-12369 Update all distro plugins to the latest version

Bugfixes

  • DVT-11289 Create waiver from a problem whose message contains newlines fails on Windows

  • DVT-11939 Bult-in Xilinx Content Filters should also apply to the Design Hierarchy View top selector

  • DVT-11949 Downgrade Pydev (Python) plugin to version 5.2.0 for Eclipse 4.4.1 distros to avoid incompatibilities

  • DVT-12250 Content Filters throws NullPointerException when view-set is not specified in the XML file

  • DVT-12257 Update package_instantiation, alias, subprogram_instantiation icons

  • DVT-12259 False AMBIGUOUS_EXPRESSION error for conditional statements

  • DVT-12365 False UNDEFINED_SUBPROGRAM for subprograms with very similar argument types

  • DVT-12368 ViPlugin: Undo checkpoints are not created when moving the cursor between edits

  • DVT-12393 Fixed java.lang.NoSuchMethodError while using Breadcrumb context menu in Eclipse 4.4 distros

18.1.31 (2 October 2018)

Performance

  • DVT-12338 Rename refactoring dialog: Reduce new name sanity checks time

Enhancements

  • DVT-11828 Rename refactoring dialog: Show a warning when the new name is a keyword

  • DVT-12334 Rename refactoring dialog: Refactored element name is too cluttered

  • DVT-12336 Rename refactoring dialog: Automatically select all text in new name input box

Bugfixes

  • DVT-12023 False DUPLICATE_NAME error thrown for two functions with same argument type name, but different enclosing package

  • DVT-12194 Syntax coloring: Non-alphanumeric characters in Build Config Editor comments are not colored with grey

  • DVT-12319 Breadcrumb: NullPointerException when clicking on an instance of an undeclared module which is inside a generate

  • DVT-12321 Build config: False error reported on the argument of -cdn_vip_root directive

  • DVT-12327 Folding is broken for files with more than 15k lines

18.1.30 (25 September 2018)

Enhancements

  • DVT-12233 Syntax Coloring: Ability to customize the color of hyperlinks in comments

  • DVT-12293 Trigger error for unconstrained types used in declarations

  • DVT-12294 Trigger error for type mismatches in index constraints

  • DVT-12295 Trigger error for specifying a constraint on an already constrained type

  • DVT-12296 Trigger error for specifying a constraint on a non-composite type

Bugfixes

  • DVT-9023 Debugger integration doesn't work with Questa 10.5 or later

  • DVT-12279 Syntax Coloring: Whitespaces must be marked with gray color when "Show Whitespace Characters" is enabled

  • DVT-12306 DVT CLI: createProject -force should not preserve opened auto-linked files which are not compiled any more

  • DVT-12318 Disk space check on save should verify the drive where a file is saved instead of the workspace

18.1.29 (13 September 2018)

Enhancements

  • DVT-12238 Preference to enable disk full check on editor save action

Bugfixes

  • DVT-12178 Wrong name for searched elements in Search View

  • DVT-12220 Selecting a port of an instance in the Design Hierarchy view should trigger a breadcrumb change

  • DVT-12247 Breadcrumb: Selecting a bind from a breadcrumb element children throws an exception

  • DVT-12255 Non-top file is not incrementally compiled on open if Outline View is not focused

  • DVT-12263 Exceptions thrown when closing a cloned editor with files containing macros

18.1.28 (6 September 2018)

Bugfixes

  • DVT-9756 Design Hierarchy View and Verification Hierarchy View showing 'No data' instead of default input message when not populated

  • DVT-12170 Breadcrumb: Specific instance path is lost when cloning or splitting the editor

  • DVT-12182 Power Format: Enabling UPF/CPF on a project should not require the TCL plugin to be installed

  • DVT-12190 Breadcrumb: Updates are not working if Design Hierarchy View is not open

  • DVT-12226 Breadcrumb: Changing instance with Select Instance Button does not keep the correct path after switch to other editors

  • DVT-12237 Check for disk full doesn't run periodically

  • DVT-11298 UNDECLARED_LIBRARY semantic error for 'VITAL2000' from SIMPRIM Xilinx library compilation

18.1.27 (30 August 2018)

Enhancements

  • DVT-12217 Breadcrumb: Add background color preference

Bugfixes

  • DVT-7482 Layers View buttons are not visible until resize of view

  • DVT-11254 Breadcrumb: Graphical artifacts for dark theme background

  • DVT-12163 Breadcrumb: Clicking the arrow to see the children of a design element doesn't work for generate blocks with multiple branches

  • DVT-12183 Breadcrumb: Path is not correctly computed for generate blocks with multiple branches

  • DVT-12205 False TYPE_MISMATCH error when element association in array aggregate is of the array type

  • DVT-12213 DVT CLI: Using -include auto fails because path to JRE is not computed correctly

  • DVT-12214 Breadcrumb: 'Select Instance' button is not disabled when the instance's design is not declared

  • DVT-12215 Sometimes the hyperlink on component generic is not working

  • DVT-12218 Sometimes a trace signal operation freezes after a Design Hierarchy view update is performed

  • DVT-12222 Prevent NullPointerException during project restore

18.1.26 (27 August 2018)

Enhancements

  • DVT-11612 Show aliases, package, function and procedure instances in the Outline View

  • DVT-12162 Throw error when a variable is used as left hand side of a signal assignment

  • DVT-12185 Clean-up element types used in Content Filters and Outline View filters

Bugfixes

  • DVT-9940 Wrong tooltip in package body for same named type declaration in corresponding package declaration

  • DVT-10460 False build config error in ius.irun mode: -R takes another directive -nclibdirname as argument

  • DVT-10830 Code Factory "Create Testbench" should initialize the clock signal

  • DVT-11353 Build config: False error for the '-spectre_args' directive that receives another directive as argument

  • DVT-11611 False SIGNAL_NEVER_USED semantic warning when signal is used under an alias

  • DVT-11663 DVT CLI openFile command on a file inside a project should refresh the file's parent directory

  • DVT-12169 Prevent false errors being reported when auto-config times out

  • DVT-12203 Diagram engine doesn't take into account port labels when computing node size

18.1.25 (9 August 2018)

Enhancements

  • DVT-9971 Check that physical types have an integer base type

  • DVT-12130 Ability to switch to schematic from a "Show Connections Between" action in a flow diagram

Bugfixes

  • DVT-11793 False AMBIGUOUS_SUBPROGRAM when using bit string literal as operand

  • DVT-12131 Double click in editor on a number does not select it

18.1.24 (3 August 2018)

Enhancements

  • DVT-12018 DVT CLI: Ability to automatically create resource filters at project creation time, based on the build configuration

  • DVT-12126 Replaced menu action "Show Connections Between Targets" with "Show Connections Around" on signals in schematic diagrams

Bugfixes

  • DVT-11974 Debugger Integration: When VCS compilation and simulation are done from different working dirs, source lookup fails for relative paths

  • DVT-12020 False error when case expression is a loop parameter

  • DVT-12021 False error for an identifier that resolves both to a function and a variable

  • DVT-12032 False ILLEGAL_TYPE_REFERENCE error when identifier name is both a function and an enum

  • DVT-12042 Automatically insert single proposal does not work for Code Template proposals

  • DVT-12124 False DUPLICATE_NAME error thrown when PSL VUnit name is the same with the associated entity

  • DVT-12127 Unexpected NullPointerException after Incremental Build in a VHDL + PSL project

  • DVT-12129 NullPointerException thrown in Annotations preference page when it contains annotations with no labels (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

18.1.23 (26 July 2018)

Enhancements

  • DVT-4414 Ability to configure the maximum number of lines in file and maximum number of matches for Mark Occurrences

  • DVT-7578 Autocomplete for overloaded generic subprograms adds arguments from the first implementation

  • DVT-9884 Trigger semantic error for non-existing type mark in function signature

  • DVT-11613 Show subroutine arguments in content assist proposal when under an alias

Bugfixes

  • DVT-11989 No duplicate error thrown for subprograms with same signature but different generics

  • DVT-12076 Build Config: Unmatched single quote errors are not reported

18.1.22 (20 July 2018)

Performance

  • DVT-11982 Improve the performance of problems management in projects with large filesystem hierarchies

Features

  • DVT-11422 Ability to show only sources and destinations for a specific signal in HDL diagrams

Enhancements

  • DVT-11164 DVT CLI: Add support for listCompiledFiles on VHDL projects

  • DVT-11979 Show compile indices as part of the file info for any Inspect View input

Bugfixes

  • DVT-12014 Search View should not trim the tabs of every hit line content

  • DVT-12015 Formatting fails for VHDL Extended Identifiers

  • DVT-12019 False error for bit string literals containing hyphens

  • DVT-12043 Escape special XML characters when creating a compile waiver from an existing marker

18.1.21 (6 July 2018)

Features

  • DVT-3985 Ability to open files from embedded terminal using double click

Enhancements

  • DVT-10797 Inspect View: Show compile syntax when selecting a file

  • DVT-10991 DVT CLI: openFile command should support relative paths

  • DVT-11740 Ability to reverse arrow key controls in diagrams

Bugfixes

  • DVT-11966 Do not trigger error for -cover <spec> build config directive

18.1.20 (28 June 2018)

Enhancements

  • DVT-11858 ViPlugin: Show warning when searching for non-existing word

  • DVT-11862 ViPlugin: Add "hls" command as shortcut for "highlightsearch"

  • DVT-11958 Add -close_others option to dvt_cli.sh importProject and createProject to close all open projects

Bugfixes

  • DVT-11856 ViPlugin: Adding a character in the middle of the searched word in command line moves cursor to the end of the word

  • DVT-11860 ViPlugin: Searching with regular expressions highlights wrong number of characters

  • DVT-11863 ViPlugin: Visual block mode must start with a selection of size 1

  • DVT-11864 ViPlugin: In visual mode, the last character on a line cannot be selected without the newline

18.1.19 (21 June 2018)

Enhancements

  • DVT-11936 Diagram filter "show" rules for instances should also make parents visible

Bugfixes

  • DVT-9939 Content Assist: Do not show enum items proposals on enum dot access

  • DVT-11920 Show Connections Between diagram action does not work for pairs of child and parent instances

  • DVT-11926 Unexpected exception when accessing DVT -> Icons preference page

  • DVT-11938 Trace signal action not working when triggered from the Design Hierarchy view when the top of the hierarchy is a particular instance

  • DVT-11950 Fixed java.lang.NoSuchMethodError while using Project Colors in Eclipse 4.4 distros

18.1.18 (15 June 2018)

Bugfixes

  • DVT-11925 DVT specific eclipse.ini is missing from Linux and Windows Eclipse 4.6 and 4.7 distros

18.1.17 (14 June 2018)

Bugfixes

  • DVT-11901 Design diagram filters ignore port direction

  • DVT-11903 DVT specific eclipse.ini is missing from MacOS Eclipse 4.6 and 4.7 distros

  • DVT-11904 Wrong eclipse.ini path specified in the error message of MacOS Eclipse 4.6 and 4.7 installations

  • DVT-11906 Debugger integration: Error while importing breakpoints from simulator when the file is a symbolic link to the workspace file

  • DVT-11909 Apply Increase/Decrease Editor Font Size to all editors

18.1.16 (31 May 2018)

Performance

  • DVT-11855 Reduce memory footprint caused by function overload resolution

Enhancements

  • DVT-11305 Improve semantic analysis of function calls followed by array selects

  • DVT-11714 Support for generic package data types when tracing signals and in design diagrams

Bugfixes

  • DVT-10294 Sometimes autocomplete may show and insert proposals for a prefix shorter than the one typed

  • DVT-11716 Syntax Coloring: Libraries and packages are not always colored as type

  • DVT-11799 False UNDECLARED_IDENTIFIER semantic error when accessing a record element through an array select alias

  • DVT-11803 Incorrect resolved type when slicing an array with a range attribute

  • DVT-11804 False AMBIGUOUS_SUBPROGRAM caused by use clause inside a procedure

  • DVT-11805 False UNDEFINED_SUBPROGRAM for concatenation with an array aggregate

  • DVT-11807 Custom Dialogs need platform restart after ParseException caused by misplaced layoutData attribute

  • DVT-11808 Switching the active build configuration leads to memory leaks

  • DVT-11811 False error when using a function with the same name as other predefined alias/function

  • DVT-11813 Color handle leaks in viPlugin leading to "No more handles" error

  • DVT-11814 Image handle leaks in editors leading to "No more handles" error

  • DVT-11822 Incorrect loop parameter variable type when the loop's discrete range is defined by a range attribute

  • DVT-11829 Syntax Coloring: The colors are not updated when opening search results from different files

  • DVT-11830 Code Folding: Folding positions are not updated when opening search results from different files

18.1.15 (17 May 2018)

Performance

  • DVT-11477 Improve Positional Tooltips performance

Features

  • DVT-9342 Simplify Altera libraries configuration using +dvt_init_altera directive

  • DVT-11697 Ability to autocomplete UPF/CPF command names

  • DVT-11698 Ability to autocomplete code templates for UPF/CPF commands

  • DVT-11735 Ability to quickly fix UPF/CPF commands

  • DVT-11748 Ability to see tooltips for UPF/CPF commands

  • DVT-11787 Ability to highlight UPF/CPF command arguments

Enhancements

  • DVT-11380 Hyperlinks for JavaDoc @link tags in comments

Bugfixes

  • DVT-11729 Exception thrown when invoking autocomplete after a bracket character in the Build Config Editor

  • DVT-11786 Incomplete schematic diagram generated from entity

  • DVT-11800 Sometimes DVT triggers IndexOutOfBoundsException in block selection mode when the selection is on the last line

18.1.14 (10 May 2018)

REMOVED

  • DVT-11277 Remove support for the following +dvt_incremental_compile_checks parameters: FILE, LIB, PKG, FULL

Enhancements

  • DVT-11660 Add support for -F in Questa compatibility modes

  • DVT-11745 Show compile index information in error tooltip for files compiled multiple times

Bugfixes

  • DVT-8854 Quick fix: Take into account uppercase/lowercase for keywords and data types

  • DVT-10405 Quick fix: Declare enum value should not be available for hierarchical access

  • DVT-11616 Quick fix: Did you mean should not propose the same identifier in different case

  • DVT-11722 False UNDECLARED_IDENTIFIER error for enum type values after hierarchical access from enum type

  • DVT-11749 False errors after incremental build in non-compiled VHDL files

  • DVT-11750 Large letter spacing on Windows when using the new diagrams engine (patch for Eclipse 4.7.3)

  • DVT-11753 Line wrapping does not work properly when the line should end with a character: 'x'

  • DVT-11754 Prevent sporadic StringIndexOutOfBoundsExceptions thrown when Search View is populated

  • DVT-11756 Show declaration for subprograms doesn't work from editor right click menu nor when hitting F3 shortcut

  • DVT-11762 Prevent sporadic NullPointerException when opening a diagram while other diagrams are opened

18.1.13 (7 May 2018)

Enhancements

  • DVT-11747 Build config: Add support for non-standard specification of shared libraries using -sv_lib and -sv_liblist with file extension

Bugfixes

  • DVT-11743 GUI freeze at startup due to race condition favored by project colors enablement

18.1.12 (4 May 2018)

Features

  • DVT-11694 CDT Integration: Auto-Link C/C++ files used to build .so files specified in default.build

Enhancements

  • DVT-11646 Add support for DVT_UVVM_HOME environment variable

Bugfixes

  • DVT-11465 Editor tab remains green for different files in different project

  • DVT-11737 Xilinx Auto-config: Isolate user specified directives under +dvt_init_auto from generated build directives

18.1.11 (27 April 2018)

Performance

  • DVT-11700 Improve Breadcrumb Navigation Bar performance

Enhancements

  • DVT-11594 Show function implementation in Inspect View

  • DVT-11684 Ability to disable Cairo graphics through dvt.sh and dvt_cli.sh on Linux

  • DVT-11738 Show multiple subprograms in Inspect View when a call is ambiguous

Bugfixes

  • DVT-10710 Format multiple files should continue format of writable files and skip read-only files

  • DVT-11264 Report an issue in DVT wizard should not close when save to zip action is canceled

  • DVT-11329 Support file operations for any file type (IEEE 1076-2008 5.5.2)

  • DVT-11601 Xilinx Vivado Auto-config: Add support for VHDL 2008 syntax

  • DVT-11610 False semantic error when using an array select under an alias

  • DVT-11632 Stack Overflow thrown for circular type declarations

  • DVT-11634 False SENSITIVITY_UNUSED semantic warning for signal used in hierarchical signal bit select

  • DVT-11662 Use 1993 predefined libraries when compiling libraries with 2002 or older syntax

  • DVT-11680 Syntax Coloring: Package body and type body identifiers are not colored as types

  • DVT-11703 Crash on Linux when expanding the Find/Replace history combo containing a very large entry (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

  • DVT-11710 Positional tooltip doesn't work for overloaded procedures

  • DVT-11715 "Show Diagram" action not working on the entity name in an instance declaration

18.1.10 (16 April 2018)

Bugfixes

  • DVT-11647 $UVVM_HOME and $DVT_OSVVM_HOME environment variables are not properly set

  • DVT-11649 Flow diagram not expanded when generating it from an design hierarchy instance

  • DVT-11650 Incorrect top element label in block diagram

18.1.9 (12 April 2018)

Enhancements

  • DVT-11046 Breadcrumb: Add context menu similar with the one in Design Hierarchy View

Bugfixes

  • DVT-11614 Local variable shadows outside constant in subprogram signature

  • DVT-11615 False UNDECLARED_IDENTIFIER for types when visible only in concurrent statements

  • DVT-11617 False TYPE_MISMATCH error when type range is specified with attributes

  • DVT-11621 Resource leak potentially leading to "no more handles" error

  • DVT-11622 dvt_kit_installer.bat doesn't support multiple versions of the same plugin on Windows

18.1.8 (5 April 2018)

Performance

  • DVT-11587 Improve Inspect View performance for very large files

Enhancements

  • DVT-10786 New hyperlink: Show implementation of a subprogram

Bugfixes

  • DVT-11592 Xilinx Vivado Auto-config: add support for projects defined with out of project source files

  • DVT-11595 Show Usages does not work on subprogram declarations

18.1.7 (2 April 2018)

Performance

  • DVT-11550 Incremental build view update performance issues on loaded CPU

Bugfixes

  • DVT-11544 Custom Dialogs: Enter should apply and close the dialog when the output of the focused widget is not redirected

  • DVT-11555 CLI listCompiledFiles command does not list library files (specified using -y or -v)

  • DVT-11559 dvt_build.log file no longer created for a new project

18.1.6 (29 March 2018)

Features

  • DVT-6816 & DVT-6916 Trace Connections support for record type signals

  • DVT-8501 Support for record type signals in design diagrams

Enhancements

  • DVT-11456 Inspect View: Add keyboard shortcut to cycle through multiple inputs

Bugfixes

  • DVT-10750 & DVT-11365 Ignore irun "-rnm_coerce" flag in build config

  • DVT-11342 Breadcrumb: Silence harmless exceptions thrown when opening a design file located outside project

  • DVT-11379 Ignore irun "-override_timescale" flag in build config

  • DVT-11485 Design hierarchy select top button shows empty list

  • DVT-11516 DVT license is checked-out on exclusive C/C++ projects when using the hyperlinks

  • DVT-11529 Inspect View does not update when brought back from minimized state

  • DVT-11538 Generate HTML Documentation should not proceed when the full build is canceled

18.1.5 (22 March 2018)

Enhancements

  • DVT-10186 Inspect View: Add keyboard shortcut to increase / decrease the number of context lines

  • DVT-11178 Search View: Add toolbar button to show/hide potential matches

Bugfixes

  • DVT-11428 Show Extended Help from Problems View does not work

18.1.4 (15 March 2018)

Bugfixes

  • DVT-11179 Search View: Potential matches foreground coloring preference is not taken into account

  • DVT-11388 Diagram filters main dialog closes when "new filter" sub-dialog closes

18.1.3 (8 March 2018)

Features

  • DVT-10982 Trace connections diagram filters

Enhancements

  • DVT-11358 Trigger semantic error for non-existent argument in subprogram call

Bugfixes

  • DVT-11152 Compile Order View is re-created if not visible when re-applying waivers

  • DVT-11359 False INVALID_TYPE_CONVERSION error when using mixed language types in a type conversion

  • DVT-11378 Ability to use regex in design diagrams filter paths

  • DVT-11382 Wrong message in the Console View when the build log file is disabled

  • DVT-11385 False error due to bad interpretation of a bit string literal

  • DVT-11391 Sometimes the design diagrams Go To Source action jumps to wrong file

18.1.2 (2 March 2018)

Bugfixes

  • DVT-9795 Build config: +dvt_auto_link_file sometimes triggers "Illegal attempt to modify an immutable tree" exceptions

  • DVT-11300 Syntax Coloring: String color in Build Config Editor is updated only when reopening the editor

  • DVT-11308 False UNDEFINED_SUBPROGRAM error on multiplication between real and integer operands

  • DVT-11310 Xilinx Vivado Auto-config should include recursively referenced IP files

  • DVT-11313 False UNDEFINED_SUBPROGRAM error on concatenation between array aggregate operands

  • DVT-11330 Fixed sun.security.validator.ValidatorException by updating JRE certificates

  • DVT-11331 False semantic errors after full build due to rare race condition

  • DVT-11332 Platform freeze after full build due to race condition

  • DVT-11352 Prevent sporadic null pointer exceptions during project clean

  • DVT-11354 False INVALID_ATTRIBUTE error on port attribute when used from port alias

  • DVT-11355 False UNDEFINED_SUBPROGRAM error when an operator is explicitly specified in an use clause

18.1.1 (22 February 2018)

HIGHLIGHTS

Note: Some of the features below were rolled-out in 17.1.# hotfix releases for early adopters.

REMOVED

  • DVT-10341 Removed Eclipse accounts toolbar button in Eclipse 4.7 (Oxygen)

  • DVT-11246 Removed Eclipse 3.8 and 4.5.1 distros

  • DVT-11287 Replaced dvt_kit_installer.sh PERFORCE with PERFORCE.2015 and PERFORCE.2016

Features

  • DVT-3869 Breadcrumb Navigation Bar in HDL diagram editors

  • DVT-5699 Add Low Power Format Support - UPF and CPF power domain visualization

  • DVT-6671 Ability to navigate up in the design hierarchy from an HDL editor

  • DVT-7015 Ability to automatically configure DVT project build starting from an existing Xilinx ISE project

  • DVT-7885 Breadcrumb Navigation Bar in HDL editors

  • DVT-8139 Ability to automatically configure DVT project build starting from an existing Intel(Altera) Quartus project

  • DVT-10072 Ability to navigate up in the design hierarchy from an HDL diagram

  • DVT-10259 & DVT-11245 Add Perforce 2018 in DVT distros

  • DVT-10934 Ability to show all connections of selected instances in a Schematic diagram

  • DVT-11063 Add support for the OSVVM library

  • DVT-11064 Add support for the UVVM library

  • DVT-11239 Add Lua plugin in DVT distros

  • DVT-11244 Update all distro plugins to the latest version

  • DVT-11266 Ability to automatically configure DVT project build starting from an existing Xilinx Vivado project

  • DVT-11274 Ability to show connections between selected instances in a Schematic diagram

Enhancements

  • DVT-2437 Type checking in assignments

  • DVT-9100 Type checking in instance port connections

  • DVT-10940 Add auto-complete functionality to the HDL diagram filters dialog

  • DVT-11082 Naming Conventions: Add pattern matching information in documentation and in the description of the predefined conventions

  • DVT-11275 Ability to use diagram-relative paths in HDL diagram filters

  • DVT-11278 Add unique IDs to non-standard checks

Bugfixes

  • DVT-10904 XML files in hidden folders are not validated by the XML plugin using the DTD (patch for Eclipse 4.4.1, 4.6.3, 4.7.2)

  • DVT-11281 +dvt_init_xilinx should compile simprim library using VHDL_93 syntax

  • DVT-11292 Deadlock when generated default.build.auto.X file is refreshed during build

17.1.45 (19 February 2018)

Bugfixes

  • DVT-11283 IllegalArgumentException in DVT views due to disposed image

17.1.44 (8 February 2018)

Bugfixes

  • DVT-11235 Suppressed internal error message for Syntax Coloring

  • DVT-11240 Resource leak potentially leading to "no more handles" error

17.1.43 (1 February 2018)

Bugfixes

  • DVT-11206 Design diagrams fail to generate if entity name starts with 'PD'

17.1.42 (25 January 2018)

Bugfixes

  • DVT-11160 Tooltip doesn't correctly display comments containing angle brackets

17.1.41 (19 January 2018)

Performance

  • DVT-10333 Syntax Coloring: Improve semantic coloring performance

Enhancements

  • DVT-10907 Gray out VHDL code enclosed in translate_on/off pragma sections

  • DVT-11023 Show a warning if _JAVA_OPTIONS or JAVA_TOOL_OPTIONS system variables are set before running the tool

  • DVT-11098 Content Filters: Ability to use variables defined using +dvt_setenv when specifying paths

Bugfixes

  • DVT-10098 Crash on MacOS when expanding/collapsing tree nodes in views

  • DVT-10689 Syntax Coloring: Color as template ID identifiers with name surrounded by x_ and _x

  • DVT-10706 DVT spell checker does not work on non-DVT files

  • DVT-11107 Search View label should show total number of matches after content and category filters are applied

  • DVT-11118 False 'SENSITIVITY_MISSING' error for method under type alias

17.1.40 (11 January 2018)

Features

  • DVT-10487 New +dvt_init+gcc compatibility mode

Enhancements

  • DVT-9606 Option to show linux header files in DVT Auto-Linked

  • DVT-10771 CDT Integration: Remove duplicate arguments when invoking GCC

  • DVT-11077 CDT integration: Change default timeout to 40 seconds when running GCC to collect all compiled C files

Bugfixes

  • DVT-10469 Build config editor: Autocomplete does not list C as a possible language for +dvt_ext_map directive

  • DVT-11075 CDT Integration: No C files are auto-linked when using -imacros directive

17.1.39 (8 January 2018)

Performance

  • DVT-10721 Auto-config: +dvt_skip_compile should prevent visiting files inside skipped directories

Enhancements

  • DVT-11025 Semantic Coloring: Color shared variables

Bugfixes

  • DVT-10455 Inspect View line numbers are not synchronized for multiple entries

  • DVT-10951 Syntax Coloring: User defined keywords are not colored as keywords

  • DVT-11026 Semantic Coloring: Color aliases based on the aliased object

  • DVT-11034 Infinite loop during serialization of the problems database

  • DVT-11047 Custom Dialogs: Snapshot does not restore previous selection for a Directory Files Listing

  • DVT-11056 Naming Conventions do not work properly with Settings Management

17.1.38 (18 December 2017)

Performance

  • DVT-9976 Improve performance for Alt + / word completion

Enhancements

  • DVT-8734 Show quick fix proposals in tooltips of identifiers affected by errors

  • DVT-10171 Show error details in tooltips of identifiers affected by errors

  • DVT-10910 Show the current file info in Inspect View when nothing is found at the cursor location

Bugfixes

  • DVT-10980 Ability to copy text directly from predefined diagram filters

  • DVT-10988 Sometimes error decorations in Project Explorer are hidden by warnings

  • DVT-11000 Inspect View number recognition does not work in certain cases

  • DVT-11003 Inspect View does not work for elements defined in Verilog

17.1.37 (6 December 2017)

Bugfixes

  • DVT-10902 DVT Resource Filters don't work in Windows for partial subdirectory selection

  • DVT-10933 Hide unconnected ports in HDL diagram filters doesn't work when signals are implicitly hidden

  • DVT-10952 Build config: incorrect number of expected arguments for xcelium directives

  • DVT-10953 Do not automatically show the Inspect View if it is closed

17.1.36 (24 November 2017)

Features

  • DVT-10878 Automatically generate run configuration custom dialog starting from makefile

  • DVT-10908 Ability to filter HDL Diagrams

Enhancements

  • DVT-10831 Ability to add whitespace before/after stuttering

  • DVT-10883 Increased default heap size to 3g and default stack size to 4m for all 64 bits distros

Bugfixes

  • DVT-6691 Specador: Configuration wizard appears before build is done

  • DVT-10788 Syntax Coloring: Coloring does not work for items followed by a comment without a whitespace

  • DVT-10879 Custom Dialogs: Snapshot does not restore previous directory for a Directory Chooser

17.1.35 (17 November 2017)

Enhancements

  • DVT-10834 Settings Management: Ignore unusually large preference files (more than 32M)

  • DVT-10846 Naming Conventions: Change preference page look and feel to add more space for convention description

  • DVT-10854 Relax finite-state machine diagram engine to check only the text representation of state values

Bugfixes

  • DVT-10132 Renamed *.scr files contained in the distro to avoid antivirus false alerts on Windows

  • DVT-10845 Naming Conventions: Allow numbers in the default rule for constants

  • DVT-10847 Build Config: Keywords are black in Moonrise theme

  • DVT-10855 Trace Connections View: Collapse All toolbar button has wrong Expand All label

  • DVT-10860 ViPlugin: Save macros in an Eclipse compatible format

  • DVT-10861 Add Port to Entity from Selected Field: Port type is not correctly inferred

17.1.34 (10 November 2017)

Performance

  • DVT-10353 Improved Inspect View response time by limiting it to 1000 lines of code

Enhancements

  • DVT-10115 Add the Inspect View in a separate panel inside the perspective

  • DVT-10554 Quick Fix: Remove signal never used

  • DVT-10791 Ability to disable classic Eclipse theme, XULRunner, GTK theme and GTK2 through dvt.sh and dvt_cli.sh on Linux

Bugfixes

  • DVT-10790 Do not set GTK theme through GTK2_RC_FILES when theme file doesn't exist

  • DVT-10813 FSM diagram fails to generate if enum variables are written with varying case

  • DVT-10825 Content Assist: Method autocomplete jumps to incorrect position after modifying arguments

17.1.33 (1 November 2017)

Bugfixes

  • DVT-10745 Task tags not visible in view when appearing in multi-line comments

  • DVT-10781 Fixed Inspect View Copy Path with a double click

  • DVT-10784 Build log file truncated when specifying +dvt_build_log_to_console+false

17.1.32 (25 October 2017)

Bugfixes

  • DVT-10704 dvt_build.log file handle is not released on project close

17.1.31 (20 October 2017)

Enhancements

  • DVT-10275 DVT Debugger: Add support for Xcelium simulator

  • DVT-10280 DVT Debugger: Connect to "localhost" if the hostname detected by the simulator is the hostname of the machine where DVT runs

Bugfixes

  • DVT-10234 DVT Debugger: Step over with irun should run -adjacent

  • DVT-10563 DVT Debugger: When using irun, stepping over posedge with iff condition locks the debugger in an inconsistent state

  • DVT-10673 Autocomplete should not insert space after non-identifier characters

17.1.30 (13 October 2017)

Enhancements

  • DVT-10639 Support functions as package generic elements

Bugfixes

  • DVT-10568 Variable incorrectly highlighted as same named type

  • DVT-10629 False SIGNAL_NEVER_USED semantic warning when using signal with bit select in procedure call

  • DVT-10640 Compile waivers should support paths containing /../

17.1.29 (6 October 2017)

Features

Bugfixes

  • DVT-10547 Autocomplete: should not insert proposal after keyword without a delimiter

  • DVT-10595 Missing SIGNAL_MULTIPLE_DRIVERS semantic warning on a signal connected to multiple sub-instance output ports

17.1.28 (28 September 2017)

Enhancements

  • DVT-10555 Don't show a light-bulb indication on the Problems View markers that don't have a quick fix

Bugfixes

  • DVT-10585 Compile waivers created automatically from Problems View context menu and Quick Assist incorrectly escape ampersand '&' characters

17.1.27 (22 September 2017)

Performance

  • DVT-9979 Performance: Incremental build will not recompile touched files unless content has actually changed

Enhancements

  • DVT-10417 Add option to customize diagram generation timeout limit

  • DVT-10461 Enhance non top files handling (step 1 - compile non-top files in a separate library and clean-up when file is closed)

  • DVT-10539 Clean-up of trace operation actions in views and editor

  • DVT-10560 DVT CLI: Ability to create include resource filters when using the createProject command

17.1.26 (14 September 2017)

Enhancements

  • DVT-646 Ability to quickly jump to next/prev error or warning in the Console View

  • DVT-5701 Ability to specify some global directives which are valid for any other invocation using +dvt_prepend_init

  • DVT-10381 Ability to chose which builders should run before/after the execution of a run configuration (internal/external/both)

  • DVT-10457 DVT CLI: Ability to use java network client implementation instead of linux netcat by specifying -jnetcat flag

  • DVT-10465 Trigger semantic error for unconnected sub-instance input port with no default value

  • DVT-10476 Build config editor autocomplete should take into account prefix when ordering proposals

Bugfixes

  • DVT-9682 Autoconfig does not handle correctly whitespace in file/directory names

  • DVT-10330 Tooltip, hyperlink and show usages are wrong for signal used in component instantiation with ordered port connections

  • DVT-10485 Design Hierarchy View shows graphical artifacts when switching project during update followed by a filter clear

17.1.25 (31 August 2017)

Enhancements

  • DVT-8773 Show sequential logic in flow diagrams

  • DVT-10467 Code Formatting: Vertical alignment of signal, constant and variable declarations

Bugfixes

  • DVT-10448 Display "Create Waiver" proposal only on lines with semantic/syntactic markers

17.1.24 (24 August 2017)

Enhancements

  • DVT-9167 Always capitalize the task tags inside Tasks View

  • DVT-9885 Support for default case item when determining finite-state machine diagram

  • DVT-10425 Add option to hide "OTHERS" state value in FSM diagrams

Bugfixes

  • DVT-10001 Open file from right click on DVT Auto-Linked folder can link the file in a wrong directory

  • DVT-10338 Syntax coloring: Preference page IndexOutOfBounds exception when searching for inexistent category

  • DVT-10349 Task tags inside comment blocks starting with non-alphanumeric characters are not collected

  • DVT-10399 Single line comments starting with pslX cause wrong highlight

  • DVT-10414 Finite-state machine diagram does not generate after incremental on a generate block state variable

  • DVT-10427 Syntax coloring: Task tags with text longer than 10 characters (without spaces) are not correctly highlighted

  • DVT-10433 Multiple task tags inside comment blocks are not collected

17.1.22 (10 August 2017)

Performance

  • DVT-10397 ViPlugin: Improve search with alternate operator "|"

Features

  • DVT-2403 Ability to format multiple files at once

Enhancements

  • DVT-7136 Ability to match beginning / end of string when searching in views

  • DVT-9547 Search view scope information: Show architecture and entity for hits inside VHDL processes

  • DVT-10045 Method autocomplete should place cursor at the end of the method after insertion

  • DVT-10283 Project colors: Use the current project color for views info label

Bugfixes

  • DVT-10044 First argument should be selected when inserting method with parameters from autocomplete

  • DVT-10148 Disable tooltip preference should not disable F2 action

  • DVT-10213 Positional tooltips and content assist proposals don't work on read only files

  • DVT-10376 Overloaded procedures do not appear in VHDL schematic diagrams

  • DVT-10393 Conditions are empty on Windows in finite-state machine diagrams

17.1.21 (3 August 2017)

Performance

  • DVT-10297 Sometimes editing is laggy due to frequent Inspect View updates

Enhancements

  • DVT-8969 Add support for variables set via +dvt_setenv in compile waivers <include/> tags

  • DVT-10303 Inspect View: Add _ and padding to base 2 and base 16 numbers

  • DVT-10185 Ability to waive a problem back to the originally reported severity

  • DVT-10265 Task tags in multi-line comments should only show the current line as Tasks View description

  • DVT-10271 Task tags are not collected from VHDL multi-line comments

  • DVT-10344 Add support for project relative paths in compile waivers <include/> tags

17.1.20 (28 July 2017)

Features

  • DVT-10155 Expand selection to word, brackets and enclosing scopes

Bugfixes

  • DVT-4680 Autocomplete code template proposals should appear without writing any prefix

  • DVT-10245 Autocomplete should not suggest a keyword immediately after the same keyword

  • DVT-10300 Autocomplete is stuck "Loading..." proposals in Eclipse 4.7 (Oxygen)

17.1.19 (27 July 2017)

Features

  • DVT-10180 Inspect View: Show numeric values in multiple bases for numbers and constants

  • DVT-10276 Add new distros based on Eclipse 4.7 (Oxygen)

Enhancements

  • DVT-10277 Update all Eclipse 4.6 (Neon) distro plugins to the latest version

Bugfixes

  • DVT-10099 Allow only alphanumeric characters in custom task tag names

  • DVT-10100 Suggest restart when changing DVT theme

  • DVT-10118 The default type proposal for port type should be std_logic instead of bit in Connect Ports dialog

  • DVT-10272 DVT Debugger: sometimes irun in GUI mode crashes when breakpoints are set before starting the debug session

  • DVT-10281 Remove debug info printed in the console

17.1.18 (21 July 2017)

Performance

  • DVT-10002 Improve problems database serialization time

  • DVT-10235 Improve design diagram generation time for entities with many ports

Enhancements

  • DVT-9469 Add predefined Console Filters for PowerArtist

  • DVT-10120 Module auto-instantiation: Show library information for every proposal when there's more than one library

  • DVT-10133 Show compile index in Inspect View

  • DVT-10141 Semantic Coloring: Coloring does not start for files already opened when starting DVT

  • DVT-10156 Show the full path of a file when hovering over an editor tab

  • DVT-10214 Show Usages: Highlight the searched element background in the preview line

Bugfixes

  • DVT-8935 ViPlugin status bar information collides with scope information

  • DVT-9866 Skipped files decoration is broken after editing a build config file

  • DVT-10216 Hyperlink not working in defaul.build when it is imported in a different build file

  • DVT-10218 DVT Auto-Linked throws exceptions in Eclipse 4.7 (Oxygen)

  • DVT-10232 Settings Management: NullPointerException when the platform shuts down

17.1.17 (12 July 2017)

Enhancements

  • DVT-8971 Trigger warning for multiple drivers of the same signal

  • DVT-10129 Custom Dialogs: Add support for spinner and slider widgets

  • DVT-10162 Semantic Coloring : Color as type in parameterized type when there is a semantic error

Bugfixes

  • DVT-10008 Build Config: Internal error when specifying +dvt_compilation_root+$NON_EXISTING_ENV_VAR/some/path

  • DVT-10201 New File Wizard is blocked by ClioSoft's SOS check-out dialog fixed by creating the file asynchronously

  • DVT-10211 Refactor Add Port/Parameter/Generic: Infer name and type for new element if signal is selected in editor

17.1.16 (30 June 2017)

Enhancements

  • DVT-9609 Add preference to jump to first search match in diagrams

  • DVT-9959 Ability to quickly autocomplete code template in New File Wizard

  • DVT-9972 Settings management: Add support for external tool log_styles.xml

  • DVT-9973 Show Usages: Highlight the searched element in the preview line

  • DVT-10024 Ability to set the location of distribution's Eclipse and JRE folders using DVT_ECLIPSE_HOME and DVT_JAVA_HOME

Bugfixes

  • DVT-9290 Views are not updated when maximized after the first full build on Eclipse 4.6 (Neon)

  • DVT-10048 Finite-state machine diagram not generated inside generate block

  • DVT-10052 Content assist sometimes throws BadLocationException

17.1.15 (16 June 2017)

Enhancements

  • DVT-9996 Stop semantic coloring if BadLocationException is generated

  • DVT-10006 Generate finite-state machine diagram for state values having the same type as the state variable

Bugfixes

  • DVT-9997 Inconsistency in semantic coloring

  • DVT-9998 Build Config Editor: Bad syntax coloring for words containing -dvt

  • DVT-10000 External tools: Unbinding a shortcut does not release it for DVT Run Configuration use

  • DVT-10025 Add generic refactoring breaks the corresponding component if it doesn't have the 'is' keyword

  • DVT-10026 Build config: -uselic directive should take one argument

  • DVT-10027 External tools: IllegalArgumentException thrown when setting a DVT Run Configuration shortcut to an incomplete key sequence

17.1.14 (12 June 2017)

Features

  • DVT-3921 Build config: Add support for -makelib of irun

  • DVT-5135 Syntax coloring: Ability to specify different styles for signals and ports

  • DVT-5728 Syntax coloring: Ability to specify style per semantic category or language constructs

  • DVT-9945 Syntax coloring: Ability to specify style per port direction

Bugfixes

  • DVT-9607 Quick fix/assist don't work on read only files

  • DVT-9769 'Update instance ...' quick fix should also work for NOT_IN_LIST_OF_PORTS error

  • DVT-5363 Syntax coloring: Predefined constants and functions not colored

17.1.13 (31 May 2017)

Bugfixes

  • DVT-9903 Sometimes the DVT CLI shuts down after a period of inactivity

  • DVT-9921 Project Colors: Project Explorer labels in black/dark themes are broken

  • DVT-9968 Show Usages: Scope information not shown in Search View for hits in symlinked files

  • DVT-9970 Cancel does not work in Syntax Coloring preference page

17.1.11 (19 May 2017)

Enhancements

  • DVT-5447 Improve hyperlink and tooltip accuracy on overloaded procedures and functions

Bugfixes

  • DVT-9876 Editor title icon decorations not shown in split editor mode

  • DVT-9925 Wrong subprograms signature for current scope in editor

  • DVT-9926 No duplicate error triggered for same-signature subprograms with different argument names

17.1.10 (15 May 2017)

Features

  • DVT-9768 Tooltip for positional entity instantiations similar with function calls

Bugfixes

  • DVT-9900 On some systems editor icons are missing when Project Colors are enabled

  • DVT-9914 NullPointerException thrown at startup when plain text files are opened and Project Colors are enabled

17.1.9 (2 May 2017)

Features

  • DVT-8939 Quick fix: Update instance port connections when entity declaration changes

  • DVT-9620 Use distinctive editor icon colors for files in different projects

Enhancements

  • DVT-9362 Inspect View: Show line number in a left-hand side vertical ruler

  • DVT-9367 Inspect View: Open code snippet in editor by clicking the hyperlink on file name

  • DVT-9494 Refactor Add port should work cross-language

  • DVT-9515 Quick fix: Declare enum value should also work for assignments

  • DVT-9534 Inspect View: Show physical file path and read-only status

  • DVT-9594 Inspect View: Double click on file paths to copy to clipboard

  • DVT-9608 Inspect View: Show expanded macros on macro calls

  • DVT-9694 Split MISSING_PORT_CONNECTION warnings based on the port direction

  • DVT-9770 'Add port/parameter/generic' and 'Update instance...' quick fixes should work cross-language

  • DVT-9838 Add support for user defined attributes

Bugfixes

  • DVT-9836 'Error retrieving proposal text' on instance port when module is instantiated in an architecture

  • DVT-9847 Finite-state machine diagram not generated in some cases when using a next state variable

  • DVT-9854 MISSING_PORT_CONNECTIONS semantic checks not performed for instances with no port connections

  • DVT-9858 Inspect View: Shows only one nature for multi-nature projects

17.1.8 (18 April 2017)

Enhancements

  • DVT-9236 Design Hierarchy View: show progress while updating with the ability to cancel

  • DVT-9818 Design Hierarchy View: ability to prepend the library to module and entity names

  • DVT-9819 All views: expand/collapse speed-up

Bugfixes

  • DVT-6114 Add to default build actions should honor revision control flows

  • DVT-8061 Checking out a file using the checkout hook doesn't change the read-only editor decoration

  • DVT-9763 Build config: for multiple -work directives in Questa compat modes, trigger warning(s) and only consider the last one

  • DVT-9802 Wrong scope label for anonymous processes in VHDL Layers View

  • DVT-9822 Invalid thread access exception when selecting a diagram object

17.1.7 (10 April 2017)

Enhancements

  • DVT-9624 Wrong label for functions in VHDL Layers View

Bugfixes

  • DVT-6998 Templates inserted from the Code Templates view don't honor the "Insert spaces for tabs" preference

  • DVT-8227 Previous/Next in Problems View does not respect the displayed marker ordering

  • DVT-9651 Annotations not visible in dark themes

  • DVT-9742 Skip compile patterns don't work in Windows for certain paths containing only Linux separators

  • DVT-9778 Quick fix: Create missing file from Build Config Editor not working from Problems View

  • DVT-9784 No syntax error for ending comma after port map associations

  • DVT-9796 Specador: Go to element from global search does not work for mixed-language documentation

17.1.6 (31 March 2017)

Features

  • DVT-9584 New Quick Fix: Create missing file from Build Config Editor

Enhancements

  • DVT-9717 CamelCase autocomplete for directives in Build Config Editor

Bugfixes

  • DVT-9341 False SENSITIVITY_UNUSED semantic warning on signal used as an argument to a procedure call

  • DVT-9743 False SIGNAL_NOT_USED semantic warning for a signal used as an argument to a procedure call

17.1.5 (23 March 2017)

Bugfixes

  • DVT-9571 False duplicate state value when the number format is different

  • DVT-9699 False SIGNAL_NOT_USED warnings for physical type signals

17.1.4 (20 March 2017)

Features

  • DVT-9679 Tooltip for subprograms parameters on autocomplete

Enhancements

  • DVT-9637 Support for multiple choice case items when determining values in finite-state machine diagrams

  • DVT-9662 Add support for the Shell Script Editor plugin when using the Moonrise DVT theme

Bugfixes

  • DVT-8989 User defined constrained types are not highlighted

  • DVT-9638 Sometimes transactions are multiplied when the initial state of a finite-state machine diagrams is missing

  • DVT-9668 "dvt_plugin_installer.sh list" doesn't list any plugins when the system installed awk is mawk

17.1.3 (14 March 2017)

Bugfixes

  • DVT-8087 Exception triggered on first right click in Build Config Editor

  • DVT-9489 Skip compile patterns don't work in Windows for certain paths specifying drive letter

  • DVT-9634 GUI freeze on tooltip and/or inspect view when editor cursor placed on non-identifier characters (e.g ++, +=, etc.)

17.1.1 (24 February 2017)

HIGHLIGHTS

  • Ability to generate finite-state machine diagrams, see Finite-State Machine Diagrams

  • Ability to quickly inspect an element (entity, function, signal etc.) when a clicking a name in the editor or selecting an element from a view, see Inspect View

  • Ability to search for text in diagrams

  • Ability to customize icon colors, see Changing Icon Colors

  • Show scope information in the Search View

Features

Enhancements

  • DVT-8605 Shows more details at low zoom levels in the new diagrams engine

  • DVT-8821 Schematic diagram logic gates should show actual assignments between inputs and outputs

  • DVT-8987 Show scope information in Search View

  • DVT-9125 Ability to show Ctrl + click (hyperlink) actions using Ctrl + F3

  • DVT-9542 Better tracing accuracy for determining signal drivers in processes

16.1.37 (24 February 2017)

Enhancements

  • DVT-9316 Autocomplete: ordering of camel-case matched proposals should take into account the index of the matched segment

  • DVT-9448 Ability to specify Custom Dialog snapshots file location

16.1.35 (1 February 2017)

Bugfixes

  • DVT-8609 Using ${dvt_dialog_prompt} as argument in a CDT Run Configuration for a defined project throws an error if no project is selected

16.1.34 (13 January 2017)

Enhancements

  • DVT-9344 Variable declared using Quick Fix should be indented accordingly and declared last if other variables are already declared

Bugfixes

  • DVT-8408 Content Filters don't work for path patterns ending with path separator and "*" in Windows

  • DVT-8410 & DVT-9323 Compile waivers patterns don't work in Windows

  • DVT-8522 Build log file is also generated in project root when +dvt_build_log_file_location+ is used

  • DVT-8523 Empty build log file when using +dvt_build_log_file_location+ directive on Windows

  • DVT-9347 Custom Dialog snapshots are not saved when there is no selected project

  • DVT-9354 Build config: relative paths specified after +dvt_init in a file included with -F are not solved correctly

16.1.32 (22 December 2016)

Enhancements

  • DVT-9334 Code Formatting: Ability to capitalize attributes

Bugfixes

  • DVT-9317 Predefined Code Templates: Wrong %id processing

  • DVT-9333 Automatically transform text ".." to "=>" does not work for "when" case statements

16.1.31 (9 December 2016)

Enhancements

  • DVT-9235 Suggest using Quick Views when maximizing editor / switching from an editor to a view filter

Bugfixes

  • DVT-9283 Missing predefined VHDL standard definitions from std.standard package

16.1.30 (24 November 2016)

Features

  • DVT-7389 CDT Integration: Add predefined gcc filters for external tools integration

  • DVT-8771 CDT Integration: Add simulator specific gcc incdirs and defines per compatibility mode

  • DVT-8772 CDT Integration: Auto-Link C/C++ files included from outside the project

16.1.29 (14 November 2016)

Features

  • DVT-4038 Refactoring: Add port to VHDL entity

  • DVT-9206 Refactoring: Add generic to VHDL entity

Enhancements

  • DVT-8328 Add Copy Full Path action in Search View context menu

  • DVT-9099 Editor context menu: add Show > Usages and sort entries alphabetically

Bugfixes

  • DVT-4951 Connect Instances: VHDL signal type autocomplete not working

  • DVT-5754 "Show Direct Changes" filter not working in the Connect Instances Toolbar

  • DVT-8058 Launching the debugger should not fail if the *.launch file is read-only

  • DVT-9221 Error is shown when *_prompt variables are used in a launch configuration and the dialog is canceled

  • DVT-9230 Create project from template using the dvt_cli.sh does not work

  • DVT-9234 "Premature end of file" exception at startup breaks hyper-links and colors in the console (DVT build / Run configurations / External builders)

16.1.28 (2 November 2016)

Bugfixes

  • DVT-9197 Saving ViPlugin preferences in user area can lead to OutOfMemoryError due to UTF characters duplication

  • DVT-9203 Patched potential platform start-up deadlock (patch for Eclipse Neon 4.6.1-4.6.2, Eclipse Bug 502095)

16.1.27 (28 October 2016)

Features

  • DVT-9188 Add closeFile command to DVT CLI

Enhancements

  • DVT-9141 Increase the incremental compilation default limit of max number of lines (change from 7k to 15k)

  • DVT-9142 Add an indication in Problems View that there are errors in files outside the project

  • DVT-9176 Set top for Verification Hierarchy / Design Hierarchy when build_config.xml is not writable should trigger a notification

Bugfixes

  • DVT-8968 Wrong UNDECLARED_ENTITY error when using 'undeclared_library.entity_name'

  • DVT-9153 Auto-indent fails to align the end anchors after package instantiation declaration

16.1.26 (17 October 2016)

Bugfixes

  • DVT-9106 Editor not opening due to infinite loop in syntax highlight algorithm when some words are 1 char length

  • DVT-9109 Remove clause library Quick Fix trims previous new line separator

  • DVT-9110 Remove clause library Quick Fix doesn't properly work for multiple libraries

16.1.25 (9 October 2016)

Performance

  • DVT-9089 Applying compile waivers after incremental build takes a significant amount of time on large environments

Features

  • DVT-8822 Ability to open a trace diagram on a selected schematic diagram connection

Bugfixes

  • DVT-8792 Exported Verilog package type not visible in VHDL

  • DVT-9063 Missing 'Fully qualify' and 'Add missing use clause' for 'work' library

  • DVT-9081 Source code formatting wrongly indents large macro definitions in large regions of code

16.1.24 (22 September 2016)

Features

  • DVT-8105 Quick Fix: "Did you mean" suggestion for UNDECLARED_IDENTIFIER

  • DVT-8874 Quick Fix: fully qualify type access for NON_EXISTING_TYPE errors

  • DVT-8890 Quick Fix: Add port for non existing port errors

  • DVT-8893 Quick Fix: Declare generic for non existing generic in entity/component instantiation

Enhancements

  • DVT-9024 Code Formatting: Ability to disable trim of whitespace lines

  • DVT-9028 Add project_name or workspace prefix indication in Search View label

Bugfixes

  • DVT-4571 Syntax coloring preferences preview window doesn't get updated when modifying string-related preferences

  • DVT-8849 Show usages sometimes collapses hits on same line

  • DVT-9036 NullPointerException thrown on incremental build after project restore

  • DVT-9041 Quick fix doesn't work from Problems View any more

  • DVT-9042 Build config: file after -sva directive is ignored

  • DVT-9043 Build config: -ovl directive should take one argument

  • DVT-9045 Suppress messages "First launcher.execute()" from ClearCase plugin (patch for distro ClearCase plugin)

  • DVT-9046 Revert to the old Perforce plugin version (2015) in DVT distros

  • DVT-9049 Incorrect MISSING_PORT_CONNECTIONS semantic warning for a mixed-language instance when the port name is specified in a different letter case

16.1.23 (14 September 2016)

Bugfixes

  • DVT-9029 Remove debug messages from the terminal where the application is launched

  • DVT-9034 Perforce plugin included in the distribution is not starting after the last update

16.1.22 (12 September 2016)

Enhancements

  • DVT-8878 Update all distro plugins to the latest version

  • DVT-8994 Changed Trace Connections preferences texts to positive logic

  • DVT-8999 Add new distros based on Eclipse 4.6 (Neon)

  • DVT-9015 Check if files in "gray editors" became part of the compilation after rebuild and reload them

  • DVT-9019 Prompt to save out-of-project files before rebuild

Bugfixes

  • DVT-8714 Sometimes the History View is not showing anything in perspectives not contributed by revision control plugins (Eclipse Bug 471782, fixed in Eclipse 4.6 distros)

  • DVT-9010 False semantic error for value of enum declared in a different library

  • DVT-9026 False SIGNAL_NEVER_READ semantic error when the signal is connected to a record port signal in a instantiation

  • DVT-9027 Hyperlink not working for signals of record ports in instantiations

16.1.21 (26 August 2016)

Performance

  • DVT-8985 Reduce the memory footprint of the Design Hierarchy View

Ehnancements

  • DVT-8090 Build config: add support for specifying environment variables in TCL syntax $env(VAR) or $::env(VAR) and Makefile syntax $(VAR)

  • DVT-8790 Build config: demote to warning -top / +nctop specified multiple times

Bugfixes

  • DVT-8436 Build config: unable to locate paths crossing a forward symlink with an updir reference

  • DVT-8924 Build config: -syncall -sva -maxlevels -zlib directives should take one argument

  • DVT-8937 Support for record type ports in instance port connections checks

16.1.20 (12 August 2016)

Performance

  • DVT-8755 Settings Management: Slow down in editor operations (the preferences are now saved in user's preferences.ini only when DVT is closed or by using Window -> Settings Management -> Force Save Preferences)

  • DVT-8917 Cancel state checking leads to semantic performance degradation on large environments

  • DVT-8918 Cancel state checking leads to views update performance degradation on large environments

Features

  • DVT-8529 Added a new DVT color theme inspired from Moonrise color theme

Enhancements

  • DVT-8913 Custom Dialogs: add support for +dvt_setenv+ defined variables in Files Listing widget

  • DVT-8920 Add support for auto-linking user-specified files using +dvt_auto_link_file+<path/to/file>

Bugfixes

  • DVT-7948 Settings Management: Job starts while running an external builder preventing any tool interaction

  • DVT-8040 Settings Management: Doesn't handle hierarchical preferences

  • DVT-8756 Settings Management: NullPointerException when the platform shuts down

  • DVT-8919 Enable printing on DVT Linux distributions based on Eclipse 4.4 and 4.5

16.1.19 (5 August 2016)

Features

  • DVT-8251 Quick Fix: Add missing use clause for NON_EXISTING_TYPE errors

  • DVT-8868 Add red underlining for syntax errors

Enhancements

  • DVT-8867 Add preference to automatically generate a diagram after tracing a signal

Bugfixes

  • DVT-8870 Incremental after restore for a file in multiple libraries compiles the file only in one library

  • DVT-8871 Incremental after restore compiles the file in wrong library when in fast serialization/deserialization mode (default)

  • DVT-8880 False NOT_IN_LIST_OF_PORTS error when the port of the entity is under a type conversion

16.1.18 (25 July 2016)

Enhancements

  • DVT-6837 Code Formatting: Ability to vertically align only consecutive lines

  • DVT-8808 Ability to cancel the build process during build configuration analysis phase

  • DVT-8830 Show total number of word occurrences in a file when hovering a match annotation marker in the right vertical bar

Bugfixes

  • DVT-8812 Waiving from Problems View context menu does not work when waivers.xml is opened and dirty

  • DVT-8813 VHDL Quick fix: declare variable inserts superfluous 'process' keyword

  • DVT-8838 DVT external builders defined in shared preferences should inherit the enabled/disabled state

16.1.17 (15 July 2016)

Enhancements

  • DVT-8795 Code Formatting: Add option to ignore lines starting with specified prefixes

  • DVT-8798 Port connections checks should apply for cross-language instantiations

Bugfixes

  • DVT-8791 Add instance port connections checks for VHDL instances

  • DVT-8793 False UNDECLARED_IDENTIFIER semantic error at incremental when using a Verilog type in VHDL

16.1.16 (8 July 2016)

Enhancements

  • DVT-8135 Check that executed script is part of the same distribution where $DVT_HOME points to

  • DVT-8175 Autocomplete capitalization for keywords and predefined data types using code formatting preferences (first letter if "leave as is")

  • DVT-8524 For an instance show type and enclosing module in the status bar

Bugfixes

  • DVT-8713 Unexpected incremental compilation errors after project restore

  • DVT-8715 DVT external builders defined in shared preferences should not be disabled in new projects

  • DVT-8761 CDT builder is disabled when the project is configured using DVT

  • DVT-8762 False DUPLICATE_WHEN_CHOICE semantic error when file compiled more than once in the same library (duplicated file in default.build)

  • DVT-8763 False UNDECLARED_IDENTIFIER semantic error for constant used in port connection when the instance is inside a block

  • DVT-8764 False UNDECLARED_IDENTIFIER semantic error for formal argument of function, when a same named function is found in the enclosing scope

  • DVT-8765 Hyperlink not working for some port connections when the first connected signal is an aggregate

16.1.15 (30 June 2016)

Enhancements

  • DVT-7131 Check arguments of dvt_cli.sh -p4 and fail if mandatory ones are not specified or empty

Bugfixes

  • DVT-6914 False UNDECLARED_IDENTIFIER semantic error for formal parameter 'external_name' of STD predefined function 'file_open'

  • DVT-7051 Project templates engine should not scan binary files

  • DVT-8711 False UNDECLARED_IDENTIFIER semantic error when accessing record member from a 2 dimensional array

  • DVT-8712 False UNDECLARED_IDENTIFIER semantic error when initializing record type member in a block

16.1.14 (17 June 2016)

Enhancements

  • DVT-5129 Compilation error recovery failure after syntax error in process statement

Bugfixes

  • DVT-8108 Update icons documentation for the Trace Connections view

  • DVT-8682 Added Questa note Console Log filter

  • DVT-8710 False undeclared identifier error for constant used in port connection range

16.1.13 (10 June 2016)

Enhancements

  • DVT-8128 Ability to see procedures in schematic diagrams

Bugfixes

  • DVT-8665 Wrong syntax highlight for arguments of arithmetic operators

  • DVT-8664 Syntax highlight is broken for real numbers

  • DVT-8676 dvt_cli.sh quit command should do nothing if DVT isn't already running

16.1.12 (1 June 2016)

REMOVED

  • DVT-8434 Remove "Trace in Subinstances" operation

Enhancements

  • DVT-5075 Changed "Trace All in Full Hierarchy" to "Show Full Hierarchy" in Trace Connections view

Bugfixes

  • DVT-8643 Run configuration launched from another run configuration throws an error

  • DVT-8657 ConcurrentModificationException thrown while SVN updates the cache for project with linked resources

  • DVT-8658 Infinite loop during semantic check in VHDL while resolving associated types

  • DVT-8660 False undeclared identifier in named record initialization as declared in a package function argument

16.1.11 (23 May 2016)

Features

  • DVT-6927 Add questa.vlog/questa.vhdl compatibility modes

16.1.10 (16 May 2016)

Enhancements

  • DVT-8614 Use +dvt_hdtv hidden build configuration option to hide duplicate elements in type view

Bugfixes

  • DVT-8298 Refactoring rename an entity or component port should rename the corresponding component respectively entity port

  • DVT-8592 False undeclared identifier in named record initialization

  • DVT-8610 No local history entry is saved when reloading a file modified outside of Eclipse

  • DVT-8613 Design hierarchy content should show only latest architecture content when duplicate architecture or entity

  • DVT-8615 Duplicate entity, architecture and configuration items should trigger semantic warning instead of error

  • DVT-8619 Large letter spacing on Windows when using the new diagrams engine (patch for Eclipse 4.5.2)

16.1.9 (9 May 2016)

Performance

  • DVT-8575 Platform lag when view content (Type, Outline, etc.) is updated with thousands of elements

  • DVT-8576 Platform lag and slow filtering of elements in Outline View (content filter)

  • DVT-8583 DVT-Settings slows down the platform while using features that temporally change preferences

Bugfixes

  • DVT-8554 Wrong UNDECLARED_IDENTIFIER semantic error when "use lib.pkg.ENUM_ITEM" and not "use lib.pkg.enum_type.ENUM_ITEM"

  • DVT-8555 Wrong UNDECLARED_IDENTIFIER semantic error when using type conversion in port connections

  • DVT-8556 Wrong "deferred constant declaration" syntactic error when constant value expression is using '??' operator

  • DVT-8558 Wrong UNDECLARED_ENUM semantic error when using constant in when choice

  • DVT-8569 Content assist proposals not relevant in some contexts (after some keywords)

  • DVT-8582 Auto-complete proposal should replace the selected text (instead of prepending it to the selected text)

  • DVT-8587 Reusing code templates with DVT-Settings doesn't work for templates missing id attribute

  • DVT-8588 DVT-Settings engine completely replaces common code templates with user code templates instead of merging them

  • DVT-8590 Task tags should be case insensitive by default

16.1.8 (30 April 2016)

Enhancements

  • DVT-8234 Camelcase auto-complete is not working for code templates

  • DVT-8539 Build config: -extbind argument should be loaded as top file

  • DVT-8544 Do not open a pop-up dialog asking you to wait for the Design Hierarchy to update when tracing a signal from the editor

Bugfixes

  • DVT-8464 Component not resolved to module with the same name

  • DVT-8536 Inconsistent content regarding bind instances when Design Hierarchy View triggered from entity vs. architecture

16.1.7 (25 April 2016)

Enhancements

  • DVT-8512 Missing semantic error for driven input port

Bugfixes

  • DVT-8505 "Open terminal here" should appear in all views containing files

  • DVT-8513 Missing semantic error on undefined identifier used in element association

  • DVT-8528 Hyperlink from component port jumps to enclosing architecture signal with the same name

16.1.6 (18 April 2016)

Enhancements

  • DVT-8467 Added DVT Theme support for Makefile editors syntax highlight

Bugfixes

  • DVT-8414 DVT Theme is not correctly applied on XML Editors syntax highlight

  • DVT-8423 Quick fix add others case choice does not insert the code properly

  • DVT-8471 Component auto-instance should not contain generics from enclosing entity

  • DVT-8472 Spelling quick fixes don't work any more

  • DVT-8493 Wrong undeclared identifier error for constants used in slice ranges

  • DVT-8502 Sometimes opening a file from the Search View throws exception

  • DVT-8466 The duplicate when choice semantic check should be case sensitive

16.1.5 (8 April 2016)

Performance

  • DVT-8453 Optimized architecture binding analysis using configurations

Enhancements

  • DVT-8407 Reopen DVT-Settings project when it's closed

  • DVT-8439 Show all running processes in the Debug View when working with irun simulator

  • DVT-8447 Support for standard environment 'std.env' package

  • DVT-8448 Support for context clause (package import)

Bugfixes

  • DVT-8306 Double-click in comment on word enclosed by 'ticks' selects one of the ticks as well

  • DVT-8333 Build config editor: no hyperlink on duplicate .f file

  • DVT-8415 "Open Terminal Here" is missing in Eclipse 4.5.1 distros

  • DVT-8432 Editor freezes on a slow filesystem when detecting hyperlinks

  • DVT-8436 Build config is unable to locate paths crossing a forward symlink with an updir reference

  • DVT-8443 CDT Automatic configuration through DVT default.build is disabled in Eclipse 3.8.1 distros

  • DVT-8444 Debugger Add-on: sometimes Expressions View throws exceptions

  • DVT-8445 Debugger Add-on: unable to watch expressions containing :: or . characters

  • DVT-8449 File permissions not changed for group and other when the file is checked out using the editor

  • DVT-8450 Skip compile using ..../* is not working properly on Windows

  • DVT-8451 On Windows, adding +dvt_autolink_root+name=/path/to/ should not require deleting DVT-AutoLinked by hand

16.1.4 (30 March 2016)

Features

  • DVT-7305 Configure C/C++ project using default.build arguments -I, -include, -l, -L, -D, -imacro (when DVT CDT Integration feature is installed)

Enhancements

  • DVT-8393 Ability to overwrite an existing Predefined Project in the workspace

Bugfixes

  • DVT-8383 Removed "Go to Source" action on flow diagram connections

  • DVT-8430 VHDL auto-config fails when -work specified explicitly in the +dvt_init_auto section

  • DVT-8437 Re-creating a project with dvt_cli.sh -force does not preserve opened editors

16.1.3 (10 March 2016)

Performance

  • DVT-8384 Fixed parsing performance degradation when compiling many small files located in a slow filesystem

Bugfixes

  • DVT-7055 "Collect Project Statistics" throws NPE when no project is selected

  • DVT-8360 Custom Dialog buttons row is not visible until resize of dialog

  • DVT-8368 New Content Filters XML Wizard does not work

  • DVT-8380 Large letter spacing on Windows when using the new diagrams engine (patch for Eclipse 4.5.1 distros)

  • DVT-8385 Skip compile file decorations don't work for VHDL

  • DVT-8386 IllegalArgumentException when exporting HDL Diagrams as PNG

  • DVT-8387 Auto-config does not work when the path to the project contains whitespace characters

16.1.2 (3 March 2016)

Performance

  • DVT-8366 Optimized memory and performance for the new diagrams engine

Features

  • DVT-8343 New MacOS specific launcher DVT.app that runs $DVT_HOME/bin/dvt.sh

Enhancements

  • DVT-8192 Hide waived errors / waiving messages from the Console View (print only in dvt_build.log)

  • DVT-8309 Build config editor: show environment variable values in tooltip

  • DVT-8345 Build config editor: content assist for paths and environment variables when used as directive arguments

  • DVT-8347 Select the entire block when double-click on begin/end (including optional end syntax, if any)

  • DVT-8352 Moved Writers and Readers to the end of the "Show Path" list in the Trace Connections view

Bugfixes

  • DVT-5250 Trace Connection in editor not working for component ports when bound through a configuration

  • DVT-8269 Skip compile directives should match path delimiters cross-platform

  • DVT-8340 Wrong Java path in MacOS distros

  • DVT-8341 "Show Path" action in the Trace Connections view should not select the first element in the list

  • DVT-8350 Trace diagrams should show sequential blocks only for the selected trace operation

  • DVT-8351 Edges are not drawn in Trace Diagrams when instances are separated by multiple levels of generate blocks

  • DVT-8365 Stop diagram generation jobs when the diagram editor is closed

16.1.1 (24 February 2016)

HIGHLIGHTS

REMOVED

  • DVT-8102 Removed MacOS 32 bit distribution, Eclipse no longer supports this platform

Features

  • DVT-3231 Block module diagrams

  • DVT-3376 Full schematic for modules

  • DVT-3440 Ability to show port to port connections in the sub modules diagram

  • DVT-3870 Ability to mark/highlight a specific signal in a diagram

  • DVT-4762 Show drivers and loads for a specific module in a diagram across the design

  • DVT-4765 Nested module diagrams

  • DVT-5433 Simplify Xilinx libraries configuration using +dvt_init_xilinx directive

  • DVT-6039 Better module diagrams layout

  • DVT-7871 Ability to automatically configure compilation using +dvt_init_auto build config directive

  • DVT-7872 Ability to drag and drop files and directories to build configuration editors (from Project Explorer View, OS file browser, etc)

  • DVT-7876 Accessible build configuration editor quick reference

  • DVT-8103 Switch to Eclipse 4.5.1, including the update of all complementary plugins from DVT distributions

  • DVT-8131 Quick access to Getting Started and Predefined Projects from Project Explorer

  • DVT-8132 Switch to Project Explorer View instead of Navigator View

  • DVT-8141 Predefined content filters for Xilinx Libraries

  • DVT-8332 Ability to pan and zoom in design diagrams

Enhancements

  • DVT-916 Filters for Xilinx simulation output

  • DVT-4764 Shortcuts for Zoom In / Zoom Out in a diagram

  • DVT-5001 Improve image quality/resolution for large diagrams

  • DVT-7870 Automatically open default.build when nothing is compiled during a full build

  • DVT-7978 Updated JRE in distribution to version 1.8.0u66

  • DVT-8275 Build with Java 8, minimal JRE required version increased to 1.8

  • DVT-8297 Multiple Ctrl+Space after '.' doesn't always switch the proposals kind (remains blocked if no proposals)

  • DVT-8260 Add Quick Fix entry in the editor right-click context menu

Bugfixes

  • DVT-7326 Skip compile directives are global instead of per-invocation

  • DVT-8038 Build log is not displayed in the console if dvt_build.log is read-only

  • DVT-8063 The last line from build console (total time) is not saved in the build log

  • DVT-8318 Incorrect hyperlink for same named entity in two different libraries

  • DVT-8324 Quick fix declares std_logic instead of function returned type

  • DVT-8334 Remove Source > Format option from the project's context menu

  • DVT-8335 Syntax error after remove signal from sensitivity list quick fix

  • DVT-8336 Quick fix of hierarchical element (field inside record type) not working correctly

15.1.41 (10 February 2016)

Enhancements

  • DVT-7831 & DVT-8274 Updated AnyEdit, Git, Python and Perforce plugins in Eclipse 4 distros

  • DVT-8248 Add build config directive to disable RTL specific checks such as SENSITIVITY_MISSING/_UNUSED and SIGNAL_NEVER_USED/_READ/_WRITTEN

  • DVT-8276 Improve performance of build configuration analysis

Bugfixes

  • DVT-8110 Mixed language auto-complete is broken after an instance of VHDL entity

  • DVT-8247 Proposals are not recomputed after '.' if the content assist window is already open

  • DVT-8253 Semantic checking duration dialog still pops up after build was canceled

  • DVT-8255 SystemVerilog Semantic checking duration dialog pops up for VHDL project

  • DVT-8256 Build config: +dvt_compilation_root directive not working in files included with -F

  • DVT-8257 Show usages hyperlink not working properly on mixed language projects

  • DVT-8258 Hyperlink incorrectly opens an Auto-Linked file with the same basename as the intended target

15.1.40 (26 January 2016)

Performance

  • DVT-8235 Optimize incremental build semantic checks to re-analyze only the changed elements

Bugfixes

  • DVT-8230 Different instance traced than the one selected in the "Select Instance" dialog

  • DVT-8244 Build config: -log directive should take one argument

15.1.39 (14 January 2016)

Enhancements

  • DVT-8207 Display vector range for VHDL ports/fields/variables

Bugfixes

  • DVT-8209 When skipping the refactoring preview page, DVT_CHECKOUT_HOOK is not called

  • DVT-8219 dvt_cli.sh errors out on -f and -F arguments (Unrecognized option)

15.1.38 (7 January 2016)

Enhancements

  • DVT-8167 Add option to copy full path in Compile Order View

Bugfixes

  • DVT-8205 When in VHDL 2008, do not issue errors for instantiations which connect output ports to input ports

15.1.37 (23 December 2015)

Enhancements

  • DVT-7693 Ability to close the automatically activated content assist pop-up after typing ';'

  • DVT-8080 Enum item inline comment is not shown in tooltip

Bugfixes

  • DVT-8152 Remove keywords and templates from content assist proposals after '.'

  • DVT-8158 Elements semantically resolved searching the packages from use directives before searching the enclosing scope

  • DVT-8166 ViPlugin: "word" vi abbreviations do not work when word boundaries are colliding with imap characters like ';'

  • DVT-8171 User preferences.ini continuously changing due to key bindings when two or more DVT instances are opened

  • DVT-8172 Content assist toggles between defaults/templates/instances windows while continuous typing

  • DVT-8177 Content assist fails to show the correct proposals after '.' when '(' before the preceding identifier

  • DVT-8179 If multiple blocks open on the same line, folding should be done on the outermost one

  • DVT-8182 Add support for logical reduction operators 'and', 'or', 'nand', 'nor', 'xor', and 'xnor'

15.1.36 (11 December 2015)

Enhancements

  • DVT-8073 ViPlugin: Ability to define "word" vi abbreviations that should not trigger if preceded by word char and should trigger if followed by non-word char

Bugfixes

  • DVT-7370 Questa predefined Console Filters do not match suppressible errors

  • DVT-8075 Auto-complete should insert single argument functions/procedures on a single line when in "insert named arguments mode"

  • DVT-8126 Option to auto-instance without signal declarations

  • DVT-8133 In the Build Configuration Editor, directive tooltips containing < and > are not correctly shown

  • DVT-8143 Wrong SIGNAL_NEVER_WRITTEN semantic warning when signal connected to output port of a procedure

15.1.35 (4 December 2015)

Enhancements

  • DVT-7867 Ability to add Git repositories by DVT CLI createProject and importProject commands

  • DVT-8136 Updated ViPlugin for DVT to 2.14

Bugfixes

  • DVT-8114 Wrong SIGNAL_NEVER_USED semantic error when signal connected to a port of an instance under generate block

15.1.32 (18 November 2015)

Enhancements

  • DVT-8071 Show warning for top files specified multiple times in the same invocation

Bugfixes

  • DVT-6993 Compile waivers are applied in reverse order

  • DVT-8081 Wrong auto-indentation of a "when" case statement in some cases

  • DVT-8085 Sometimes license checkout fails when using the latest FlexLM server (11.13.1)

  • DVT-8101 In some cases, autocomplete does not insert i index for generate blocks

  • DVT-8104 In some cases, Copy Hierarchy Path from Design Hierarchy view does not work

15.1.31 (16 November 2015)

Enhancements

  • DVT-6263 Issue a warning for unused/unread/unwritten signals

  • DVT-6264 Issue a warning for incomplete sensitivity lists

  • DVT-7203 Show sequential block assignments in the traced path of a signal

  • DVT-7205 Add keyboard shortcuts for navigating the "Show Path" list of the Trace Connections view

  • DVT-7946 Trace signal functionality should show constant drivers

  • DVT-8049 Add port declarations to the Trace Connections "Show path" list

Bugfixes

  • DVT-8016 Change criteria for Design Hierarchy "Select Top" candidates to include all uninstantiated entities

  • DVT-8043 Trace signal functionality should take into account generate blocks with no instances

15.1.29 (30 October 2015)

Features

  • DVT-8028 Quick Fix: Automatically fix an undeclared identifier: declare as variable, as argument or as signal

  • DVT-8029 Quick Fix: Automatically fix an undeclared enumeration literal: add to enumeration type

  • DVT-8030 Quick Fix: Automatically add/remove a signal to/from process sensitivity list

  • DVT-8031 Quick Fix: Automatically replace/remove a deprecated package

  • DVT-8032 Quick Fix: Automatically add missing case alternative

  • DVT-8033 Quick Fix: Automatically remove the library clause for implicit 'std' or 'work'

Bugfixes

  • DVT-8006 Autocomplete inserted text for generate blocks should include index (e.g. gen(i))

15.1.28 (16 October 2015)

Deprecated Support for JVM-style memory arguments in dvt.sh (-Xms, -Xmx, -Xss) is deprecated and will be dropped in a future release. Use -heap_size <size> and -stack_size <size> instead.

Bugfixes

  • DVT-7937 Custom Dialogs containing empty <dvt:Container> tags fail to open

  • DVT-7941 Add JVM memory arguments to dvt_cli.sh

  • DVT-7953 dvt.sh freezes under El Capitan when displaying the Eclipse splash screen

15.1.27 (8 October 2015)

Features

  • DVT-7878 Generate case statement for defined enumerations

Bugfixes

  • DVT-7907 Build console is color highlighted after total number of lines output

15.1.26 (28 September 2015)

Enhancements

  • DVT-7773 Add predefined console filters for VCS assertion log messages

  • DVT-7892 Add variable assignment support in processes when tracing

  • DVT-7921 Sensitivity list warnings support for mixed sequential and combinational statements

15.1.25 (22 September 2015)

Enhancements

  • DVT-7836 Specador: Ability to create URL for HTML frame content in order to simplify sharing links to specific pages

15.1.24 (18 September 2015)

Enhancements

  • DVT-7911 VHDL parsing performance when using large expressions

Bugfixes

  • DVT-7912 Fix header comment and skip of use and library directives

15.1.23 (9 September 2015)

Enhancements

  • DVT-3116 Print keyword set setting and the number of lines in console before/after the file load message

  • DVT-7549 Custom dialogs: ability to enable / disable a group of widgets based on a radio button / checkbox selection

  • DVT-7735 Ability to create a non-modal custom dialog

  • DVT-7850 Add indication of unnecessary use of library STD or WORK clause

  • DVT-7849 Add semantic warning indication of unused signal in sensitivity list of a process

  • DVT-7848 Add semantic warning indication for incomplete sensitivity list of a process

  • DVT-7847 Show the initial value of a signal/generic in tooltip (not only constants)

  • DVT-7846 Add non-standard/deprecated indication for IEEE std_logic_arith, std_logic_signed, std_logic_unsigned and std_logic_misc packages

Bugfixes

  • DVT-7844 Missing REDUNDANT_OTHERS_CHOICE semantic warning when the selection uses a hierarchical identifier

15.1.22 (2 September 2015)

Enhancements

  • DVT-7771 Periodically check for disk full and fire a warning for potential data loss

  • DVT-7820 Use Graphviz neato layout engine for strongly connected Module Flow Diagrams

  • DVT-7829 Add diagram generation process timeout (1 minute)

Bugfixes

  • DVT-7740 Incorrect content-assist entry label for a port

  • DVT-7789 Network files specified in default.build using the network path are not auto-linked in Windows

  • DVT-7828 dvt_cli.sh -clearcase flag works only when a project is created

  • DVT-7830 Race condition in settings management at startup

15.1.21 (25 August 2015)

Enhancements

  • DVT-2214 Add dvt_cli.sh -clearcase flag to share project with ClearCase

Bugfixes

  • DVT-7794 Support paths with whitespace for DVT_LICENSE_FILE variable in dvt.bat script

15.1.19 (18 August 2015)

Bugfixes

  • DVT-7178 "Open Terminal Here" not working for DVT Auto-Linked folders

  • DVT-7756 ViPlugin search and replace commands should not escape \n, \r, \t

  • DVT-7759 Don't show library name in auto-instantiated components

  • DVT-7772 "Copy Full Path" returns path relative to DVT Auto-Linked folder when auto linked root is used

15.1.18 (10 August 2015)

Bugfixes

  • DVT-7742 Double-click on a line without whitespace jumps to the beginning of the editor

15.1.17 (3 August 2015)

Features

  • DVT-7703 Added ViPlugin support for ":g/<old>/s//<new>/g"

Enhancements

  • DVT-6902 Double-click in whitespace should select all whitespaces

  • DVT-6930 Ability to link header comment to first significant element in file

  • DVT-7679 Support for declaration assignments when tracing signals

  • DVT-7726 DVT CLI: Ability to show in Navigator a file opened with the openFile command

Bugfixes

  • DVT-6884 Content filters ConcurrentModificationException

  • DVT-7110 Do not select any item when opening quick outline view as it causes flicker

  • DVT-7744 Two rebuild actions in quick succession might cause dictionary serialization exceptions

15.1.16 (27 July 2015)

Performance

  • DVT-7661 Semantic check high performance degradation when loaded systems (100% CPU usage, memory in swap)

Enhancements

  • DVT-6262 Show constants value in tooltip

  • DVT-7287 Function autocomplete should also insert the ';'

  • DVT-7579 Autocomplete for subprograms should add named associated arguments

  • DVT-7650 Changed F3 behavior when navigating from an instance to the module/entity declaration

  • DVT-7667 Don't modify the capitalization of the first word in the sentence if that word is in fact the identifier name

Bugfixes

  • DVT-7450 False UNDECLARED_IDENTIFIER on accessing asserts declared in a module instantiated in an entity using bind

  • DVT-7577 Autocomplete duplicates proposals for functions and procedures declared in a package and defined in a package body

  • DVT-7649 dvt_builder.sh: Semantic problems are not reported in order or appearance

  • DVT-7655 Startup sanity check dialogs are blocking the UI on Eclipse Mars 4.5

  • DVT-7663 Use portable awk syntax in scripts

  • DVT-7690 Build config: the argument of a -cpp directive is wrongly considered a top file

  • DVT-7691 Build config: -assert directive does not support a+b style arguments

15.1.15 (10 July 2015)

Bugfixes

  • DVT-7642 False changes are detected in default snapshot when Custom Dialog contains a Class Selector widget

  • DVT-7643 -assert should also accept enable_hier as parameter

15.1.14 (6 July 2015)

Features

  • DVT-7304 Ability to set CDT nature using DVT CLI

  • DVT-7306 Auto-link C/C++ files specified in default.build

Enhancements

  • DVT-7076 Delete action for Custom Dialog snapshots

  • DVT-7612 Changed hyperlink labels to be more consistent

  • DVT-7631 Renamed "Why" option to "Show Path" option in the Trace Connections view

15.1.13 (19 June 2015)

Enhancements

  • DVT-1964 Show layers on VHDL function/procedure

  • DVT-5839 Show layers on VHDL package/package body pair

  • DVT-7534 Content filters path patterns should support "/" on Windows

  • DVT-7595 Run Configurations: Add the custom hyperlink variable to the variables list

Bugfixes

  • DVT-7566 Sometimes project restore after platform startup triggers exceptions

  • DVT-7588 Autocomplete and hyperlink missing if function argument is of record type

  • DVT-7593 Pressing backspace while executing a ViPlugin imap command prints a Unicode character

15.1.12 (5 June 2015)

Enhancements

  • DVT-7477 Updated AnyEdit, Git and Python plugins in Eclipse 4 distros

Bugfixes

  • DVT-7419 When overwriting/saving a Custom Dialog snapshot, special XML characters are stored literally

  • DVT-7527 "Lint As" menu entry appears in DVT view's context menu instead of the Navigator View

  • DVT-7529 Commas incorrectly placed in right-side comments when auto-instantiating an entity

  • DVT-7541 Trace Connections not working when the top of the Design Hierarchy view is a VHDL instance

  • DVT-7546 Invalid graphviz syntax for tooltip attribute

  • DVT-7547 In VCS compatibility mode -assert directive should take any number of predefined args

15.1.11 (20 May 2015)

Enhancements

  • DVT-7473 Build config: -name directive should take one argument

Bugfixes

  • DVT-7459 Specador: Fixed NullPointerException when launching from script

  • DVT-7474 License error due to a NullPointerException in FlexLM

15.1.10 (15 May 2015)

Enhancements

  • DVT-7451 Workspace "Refresh on access" enabled by default in DVT distros

  • DVT-7454 Support for the +dvt_file_substitute build directive in VHDL

Bugfixes

  • DVT-6046 & DVT-7458 DVT CLI race condition when workspace is unlocked

  • DVT-7437 False UNDECLARED_IDENTIFIER, no hyperlink and autocomplete on port connections of component signals and ports when a module is instantiated inside an entity using bind

  • DVT-7446 High CPU usage when concurrent access to semantic search algorithm

  • DVT-7447 False UNDECLARED_IDENTIFIER error on architecture constant used in component instantiation's port map

15.1.9 (8 May 2015)

Features

  • DVT-7211 Added new DVT Slim Perspective

  • DVT-7425 Run "sh" scripts from run configurations in Windows using Busybox included in the distribution

Enhancements

  • DVT-5185 Added missing toolbar actions to application menu

  • DVT-7234 Removed some AnyEdit entries from editor context menu

  • DVT-7423 Added "Editor Emulation Mode" to editor context menu

  • DVT-7424 Removed some of the toolbar buttons in DVT perspectives added by other plugins

  • DVT-7429 Support for VHDL protected code

Bugfixes

  • DVT-7317 False UNDECLARED_IDENTIFIER on port connections of architecture instances and signals when a module is instantiated inside an entity using bind

  • DVT-7318 False UNDECLARED_IDENTIFIER error on binding a module under a mixed language path instance

  • DVT-7384 Resizing the diagram editor below a threshold hides the scrollbars

  • DVT-7405 Invalid combinations of +dvt_incremental_compile_checks and +dvt_full_compile_checks setting are reported on wrong line

  • DVT-7406 Default value of +dvt_incremental_compile_checks should be PKG/POFF when +dvt_full_compile_checks is PKGS/OFF

  • DVT-7427 No hyperlink and autocomplete when architecture generates are connected to module ports

  • DVT-7431 Restore fails for mixed-language projects with cross-language binds

  • DVT-7430 Automatically insert single proposal is broken

15.1.8 (24 April 2015)

REMOVED

  • DVT-7363 Removed deprecated build configuration directives +dvt_enable_full_semantic_checks and +dvt_extended_checks

Performance

  • DVT-7362 Optimized memory consumption when full build semantic checks are restricted to a list of libraries or packages

Enhancements

  • DVT-6730 Consider to auto-insert '<=' when typing ".."

  • DVT-7361 Added build configuration directives to control semantic checks granularity to package (+dvt_full_compile_checks+PKGS+lib1::pkg1+lib2::pkg2+... and +dvt_incremental_compile_checks+PKG)

Bugfixes

  • DVT-7365 Hyperlink doesn’t contain the entire file name in Console View if the file name is long

  • DVT-7372 Incorrect Trace Connections View driver and loads markers for same named signals

  • DVT-7373 Signals not traced in assign statements of same type instances from different scopes

  • DVT-7376 Debugger integration: unable to set breakpoints in Windows

15.1.7 (21 April 2015)

REMOVED

  • DVT-7321 Replaced unmaintained "Terminal View" plugin in Eclipse 4 distributions with Eclipse TCF Terminal

Performance

  • DVT-7340 After invoking autocomplete in a context with many proposals, editing slows down (move cursor / typing)

Enhancements

  • DVT-5969 Code Formatting: Ability to call a user script before and after code formatting

  • DVT-7169 Code Formatting: Ability to vertically align port declarations

  • DVT-7171 Code Formatting: Add formatter support for VHDL 2008 generic interface list enhancements (type, package, subprogram)

  • DVT-7173 Added Eclipse TCF Terminal with support for Windows

  • DVT-7201 Added ViPlugin support for "w!" command as an alias to "w"

  • DVT-7233 Class selector example should also include a usage of baseClassName attribute

  • DVT-7339 Added HTML Wizard option to open or not the generated documentation in browser

Bugfixes

  • DVT-7301 dvt_kit_installer.bat script should take into account parameters when run with "cmd /c"

  • DVT-7323 Dialog warning about changes in snapshot appears when selecting a different snapshot for a custom dialog

  • DVT-7327 Value attribute does not work for Custom Dialog ClassSelector widget

  • DVT-7328 Platform crash when external builders are automatically triggered during platform startup

  • DVT-7330 Flow diagrams not being generated for architectures with generics

  • DVT-7344 Support paths with whitespace in Windows scripts

15.1.6 (6 April 2015)

Bugfixes

  • DVT-7152 Modules bound to an entity are not shown on entity's Design Hierarchy

  • DVT-7269 False UNDECLARED_IDENTIFIER on port connections when a module is instantiated inside an entity using bind

15.1.5 (30 March 2015)

REMOVED

  • DVT-7251 Removed bare DVT distributions from site, DVT KIT remains the only available distribution for download

  • DVT-7252 Removed dvt_updater.sh script, the same functionality can be achieved with dvt_plugin_installer.sh

Enhancements

  • DVT-7179 Added Ruby plugin to KIT distros and updated GIT, CDT, JDT, TCL plugins

  • DVT-7253 Ability to list installed plugins using dvt_kit_installer.sh

  • DVT-7258 Added Undo/Redo actions to run configuration's command area

  • DVT-7272 Cleanup build log messages

Bugfixes

  • DVT-7254 dvt_kit_installer.sh is using incompatible arguments for sed and readlink under MacOS

  • DVT-7256 Sometimes debugger does not start due to "Unable to determine simulator" error

  • DVT-7265 Platform crash when build is automatically triggered during platform startup

  • DVT-7273 Ignore generate variables when tracing a signal

15.1.4 (23 March 2015)

Enhancements

  • DVT-5708 Support for generic packages

Bugfixes

  • DVT-7158 Variables view context menu should not show detailPaneBreakpointFactory

  • DVT-7162 Editor emulation modes stop working after manually changing key binding scheme

  • DVT-7167 DVT Debug launch configurations check out an e Language debug license regardless of the project nature

  • DVT-7236 Package instantiation's use clause doesn't work

  • DVT-7237 Wrong NON_EXISTING_TYPE error on types accessed through package instances

  • DVT-7238 False UNDECLARED_IDENTIFIER error when use clause imports a package instantiation at library level

15.1.3 (16 March 2015)

Bugfixes

  • DVT-7121 Sometimes HTML Wizard does not generate the documentation

  • DVT-7125 Debugger Integration - VCS thread names with special XML characters break the DVT-Simulator communication

  • DVT-7126 Debugger Integration - breakpoints added in Auto-Linked files break the DVT-Simulator communication

  • DVT-7145 Parser performance issue when using large combinatorial expressions

  • DVT-7147 Show only files with errors in Compile Order doesn't work for symlinked files

  • DVT-7150 Eclipse 4: Problems/Tasks View not updated after full build (patch for Eclipse Luna 4.4.1)

  • DVT-7153 Debugger Integration: improved variables view performance with thousands of variables

15.1.2 (6 March 2015)

Bugfixes

  • DVT-7111 Auto-instance doesn't declare signals when "preserve ports order" preference is enabled

  • DVT-7114 NullPointerException when running a CustomDialog with old serialization mechanism data

  • DVT-7116 Selecting the only available (default) snapshot in a CustomDialog with old serialization mechanism data should not be considered undo operation

  • DVT-7117 ClassSlector always reports selection of an identical snapshot as undo operation

15.1.1 (27 February 2015)

HIGHLIGHTS

REMOVED

  • DVT-7075 Removed IP-XACT plugin from distributions

Features

  • DVT-1460 Generic Debugger Integration

  • DVT-3696 & DVT-6440 Trace Connections through combinational processes

  • DVT-4460 Ability to store Custom Dialog Snapshots

  • DVT-4909 Trace Connections through concurrent signal assignments

  • DVT-5825 & DVT-7074 Added KIT distro for Windows. See dvt_kit_installer.sh

  • DVT-7104 Support for combinational processes in HDL diagrams

  • DVT-7105 Support for concurrent signal assignments in HDL diagrams

Enhancements

  • DVT-2018 Design Hierarchy View should show architecture information

  • DVT-4032 Ability to "Show Diagram" for instances in the Design Hierarchy view

  • DVT-6767 Custom dialog serialization should support id attribute to be specified for any widget

  • DVT-6946 & DVT-6968 Updated all plugins in distribution

  • DVT-7016 Ability to launch a configuration in debug mode from toolbar button

  • DVT-7038 Improve project restore time

  • DVT-7065 Build for Java 7, minimal JRE required version increased to 1.7

Bugfixes

  • DVT-5898 Restore should be interruptible at platform shutdown

  • DVT-6550 Eclipse 4: DVT CLI importProject -workingset does not set the workingset correctly due to some changes in the Eclipse Platform (patch for Eclipse 4.4.1)

  • DVT-6718 Don't save dictionary to disk after cancelled builds

  • DVT-6768 Custom dialog serialization is broken for multi-line Text widget content

  • DVT-6805 Close project should wait for dictionary save to complete

  • DVT-7086 Eclipse 4: First run after a crash or a forced termination results in a crash or a disabled functionality

3.5.39 (26 February 2015)

Bugfixes

  • DVT-6935 Code formatting doesn't work on physical type units

  • DVT-7081 Code formatting doesn't add whitespace around + and -

3.5.37 (13 February 2015)

Enhancements

  • DVT-7053 Increased initial memory (Xms) for better performance and MaxPermGen to avoid crashes in distributions

Bugfixes

  • DVT-7029 Parse error if a qualified expression starts with a character literal

3.5.36 (9 February 2015)

Bugfixes

  • DVT-7001 Files created outside of Eclipse and added to the default.build are auto-linked even if they are in the project directory

  • DVT-7017 Linked files in autolink roots are removed after updating autolink roots

  • DVT-7025 Sometimes, under Windows OS, VHDL files cannot be saved

3.5.35 (30 January 2015)

Features

  • DVT-6402 "Change DVT nature" allows adding the C/C++ nature when CDT is available

  • DVT-6954 Switch between eclipse, vi and emacs editor modes using shortcut or toolbar button

Enhancements

  • DVT-5953 vi mode state should be preserved between workspaces

  • DVT-6365 Automatically set the key scheme for vi plugin when vi mode is enabled

  • DVT-6397 Show vi command line under the editor instead of the status bar

  • DVT-6455 Show a larger vi command line

Bugfixes

  • DVT-6515 Incorrect pop-up message from Trace Connections from Editor when the Design Hierarchy view is updating

  • DVT-6812 Incorrect pop-up message from Trace Connections From Editor when the Design Hierarchy view is filtered

  • DVT-6949 False UNDECLARED_IDENTIFIER error when System Verilog struct elements are accessed from a VHDL architecture

  • DVT-6950 Wrong NON_EXISTING_TYPE error on package instantiation type access

  • DVT-6960 External builder multi-line errors/warnings are not added to the Problems View on Windows

  • DVT-6966 False UNDECLARED_IDENTIFIER error when accessing a package from another library named 'work'

  • DVT-6967 Trace Connections view is not properly cleaned after canceling a trace operation

  • DVT-7000 Build config: next word after -mvchome is considered a top file instead of a directive argument

  • DVT-7003 "Show Diagram" not working for architectures

3.5.34 (16 January 2015)

Enhancements

  • DVT-6726 Semantic support for PSL vunit and vunit member constructs

  • DVT-6936 Semantic support for physical type units

  • DVT-6948 Questa multi-line errors/warnings are not matched correctly by predefined console log filters

Bugfixes

  • DVT-5377 Paths don't resolve correctly on Windows in wizards

  • DVT-6961 Wrong hover when an entity an an enum element have the same name

3.5.33 (12 January 2015)

Enhancements

  • DVT-6679 Ability to copy element name in views

  • DVT-3463 & DVT-3602 & DVT-5673 & DVT-5812 & DVT-6031 Add unified context menu to all views

Bugfixes

  • DVT-6925 False UNDECLARED_IDENTIFIER error when a record member is accessed on a type

  • DVT-6926 False UNDECLARED_LIBRARY error on incremental build

  • DVT-6928 Search for text should look into all compiled files regardless of their content type

  • DVT-6944 Types View Members label is not cleared when changing project

3.5.32 (18 December 2014)

Enhancements

  • DVT-4498 Enclose selected text when typing bracket or quote or begin/end

  • DVT-5731 Add collapse/expand capability for "when" construct

Bugfixes

  • DVT-6580 Eclipse 4: Tool Bar Customization / Dynamic Launch Configuration Toolbar category is showing icons from every toolbar refresh

  • DVT-6581 Eclipse 4: Commands for Dynamic Launch Configurations are persisted after the launch configuration is deleted

  • DVT-6740 Wrong UNDECLARED IDENTIFIER for SV packages under common library in VHDL file

  • DVT-6796 Wrong indexing of pointers

  • DVT-6810 False UNDECLARED_IDENTIFIER semantic error on mixed select access

  • DVT-6863 Hyperlinks and autocomplete not working for types in vhdl function/procedure signatures

  • DVT-6909 Wrong auto-indentation when adding new line immediately before a string

  • DVT-6915 Search for references should look into all compiled files regardless of their content type

  • DVT-6918 Refactoring should be performed into all compiled files regardless of their content type

3.5.31 (5 December 2014)

Bugfixes

  • DVT-6603 Eclipse 4: Build toolbar should resize on large build names instead of displaying a drop-down

  • DVT-6662 Eclipse 4: Updated ViPlugin for DVT to 2.13.1 (fixes for Eclipse 4)

  • DVT-6668 Code formatting fails for generic type without semi before closing paren

  • DVT-6720 Code formatting fails for procedure/function/package "is new" construct

  • DVT-6883 ViPlugin: Fixed "invalid stream header" error and some NullPointerExceptions

  • DVT-6885 New DVT File Wizard doesn't allow selecting the directory in the DVT Auto-Linked hierarchy

  • DVT-6893 Auto-instance not working when the initial value of a generic specifies a unit of measure

  • DVT-6894 New DVT File Wizard doesn't recognize paths on shared partitions

  • DVT-6896 DVT Editor line delimiter should be set by the "New text file delimiter" workspace and project preferences

3.5.30 (28 November 2014)

Features

  • DVT-3222 Ability to create a project from template using dvt_cli.sh

Enhancements

  • DVT-6027 Add capability to use commands to open custom hyper links created by run configuration filters

  • DVT-6873 Add +dvt_env_no_override build directive

Bugfixes

  • DVT-6872 Build log file is not automatically refreshed if it resides inside the workspace

3.5.29 (21 November 2014)

Enhancements

  • DVT-6832 Improved error recovery on missing semicolon

Bugfixes

  • DVT-6794 Wrong auto-completion while instantiation of generic procedure

  • DVT-6798 No auto-complete of generic identifiers within declaration of generic procedure

  • DVT-6799 Incomplete mouse-over description of aliases

  • DVT-6824 Eclipse 4: NullPointerException in ContentMergeViewer$ContentMergeViewerLayout.layout (patch for Eclipse Luna 4.4.1, Eclipse Bug 348429)

  • DVT-6841 Content assist is very slow in some cases when more than 100k proposals

3.5.28 (16 November 2014)

Enhancements

  • DVT-5743 Add expand all in current scope to code folding

  • DVT-6028 Match Questa errors and invoke "verror" tool to open them

  • DVT-6800 Added PSL highlight, hyperlinks and content assist support in comments

Bugfixes

  • DVT-6795 No auto-completion while writing the invocation of an instance of the generic procedure

  • DVT-6797 False semantic error when using aliases for procedures

  • DVT-6825 Missing autocomplete after open parenthesis

  • DVT-6826 Using many Auto-Linked roots results in "Value is too long" error

  • DVT-6829 Double-click in views to open editor not working after project restore

3.5.27 (7 November 2014)

Enhancements

  • DVT-6774 Code Formatting: Ability to vertically align to open parenthesis

  • DVT-6777 Added DUPLICATE_WHEN_CHOICE, MISSING_ENUM_CHOICE, MISSING_OTHERS_CHOICE, OTHERS_CHOICE_USED, REDUNDANT_OTHERS_CHOICE, INCONSISTENT_CHOICES semantic checks

  • DVT-6783 Ability to customize run configurations console title according to the output of the process

  • DVT-6801 Slow post full build semantic resolve configurations phase in mixed SystemVerilog/VHDL mode

Bugfixes

  • DVT-6765 Sometimes dictionary save fails at platform shutdown

  • DVT-6778 "Conflicting handlers" log entry for each run configuration button

  • DVT-6781 DVT crashes after CentOS and RHEL updates with "_cairo_operator_bounded_by_source: Assertion `NOT_REACHED' failed" (fixed in distributions)

  • DVT-6782 dvt.sh -eclipse_args doesn't work with -vmargs

  • DVT-6788 Suppress "First launcher.execute()" messages from ClearCase plugin (patch for distro)

  • DVT-6789 False UNDECLARED_IDENTIFIER semantic error on array selection using 2 or more indexes

3.5.26 (31 October 2014)

Enhancements

  • DVT-4964 Code Formatting: Ability to specify a list of operators that must be surrounded by space

  • DVT-5420 Ability to trim trailing whitespace on save (Preferences -> General -> Editors -> AnyEdit Tools)

  • DVT-5832 Disabled incremental build should also disable views updates

  • DVT-6029 Support for URL hyper-links in run configuration filters

  • DVT-6241 Ability to customize run configurations console title

  • DVT-6766 Specador: Use new HTML look & feel by default

  • DVT-6773 Added AnyEdit plugin in all distributions and updated CLEARCASE, PERFORCE, PERL and PYTHON plugins

Bugfixes

  • DVT-5768 Wrong signal declaration on second auto-instance

  • DVT-6030 Run configuration filters of type NOTE not working when ${line} is not used inside pattern

  • DVT-6148 Dynamic run configuration buttons should be filtered by working sets

  • DVT-6462 +dvt_incremental_compile_max_lines not taken into account by semantic checking

  • DVT-6613 Auto-instance should not generate duplicate signals

  • DVT-6685 False UNDECLARED_IDENTIFIER error on record elements

3.5.25 (23 October 2014)

Enhancements

  • DVT-6431 Specador: Added architecture instances and sub-instances

Bugfixes

  • DVT-6083 Autocomplete shows no valid proposals for "use a." where "a" is a library

  • DVT-6725 Project Statistics yields all metrics zero when full build option is checked

  • DVT-6699 Use clause inside a package declaration doesn't work properly

  • DVT-6701 False semantic error on subprogram instantiation declaration

  • DVT-6728 After restart with multiple windows opened, rebuild buttons only show on main window

3.5.24 (10 October 2014)

Enhancements

  • DVT-6704 Auto-complete window does not remember the width and height between invocations

Bugfixes

  • DVT-5548 Setting a template as auto-insertable doesn't work

  • DVT-6700 Waive Problem from Problems View context menu does not escape less than < greater than > and quote " characters

  • DVT-6702 False UNDECLARED_TYPE semantic error on alias

Bugfixes

  • DVT-6719 Added XULRunner library in Linux distributions to avoid crashes when the internal browser starts on old systems

3.5.23 (2 October 2014)

Bugfixes

  • DVT-5132 No autocomplete and hyperlink on hierarchical access

  • DVT-6479 No build after CLI createProject on a project that was previously deleted

  • DVT-6604 Eclipse 4: Settings management eclipse.ini merger doesn't support case sensitive arguments

  • DVT-6635 The Design Hierarchy View text filter is cleared after rebuilt

  • DVT-6641 Quoted arguments of dvt_cli.sh -<name>.build are not handled correctly

  • DVT-6643 Non-deterministic build config errors on large build configurations of mixed-language projects

  • DVT-6644 Wait for clipboard contents hangs (Eclipse Bug 241957 patch)

  • DVT-6657 DVT CLI does not accept DVT as argument of -perspective option

  • DVT-6667 False UNDECLARED_IDENTIFIER error on generic incomplete types

  • DVT-6669 Editor preferences for autocomplete not working

  • DVT-6672 False UNDECLARED_IDENTIFIER error on generic interface object used in a procedure argument

  • DVT-6681 When DVT_USER_SETTINGS or DVT_COMMON_SETTINGS point to a location inside a project no links are created in DVT-Settings project

3.5.22 (9 September 2014)

Features

  • DVT-6377 Ability to specify current build configuration name and content by dvt_cli.sh

Enhancements

  • DVT-4767 Example Makefile.dvt for a predefined project

  • DVT-4911 Run configurations: improve error handling and visibility of project / working dir resolution

Bugfixes

  • DVT-6633 Trace Connections should be case-insensitive to port names

3.5.21 (3 September 2014)

Enhancements

  • DVT-6026 Ability to specify path to Custom Dialog swtxml as relative to the location of the run configuration

Bugfixes

  • DVT-4149 Don't jump to files from other projects when double-click in views

  • DVT-4835 Wrong autocomplete in empty VHDL source file

  • DVT-5179 Current scope indication is wrong inside action block inside process

  • DVT-5323 Build configurations are not correctly parsed when -ncelabargs is encountered

  • DVT-6357 Compile waivers don't work for problems whose description contains newlines

  • DVT-6601 When creating a content_filters.xml views are not refreshed

  • DVT-6620 Search view quick search is shown at the bottom of the view instead of the top

3.5.20 (28 August 2014)

Features

  • DVT-5000 Add 'show usages' hyperlink entry

Enhancements

  • DVT-6609 Preload the IEEE, STD, etc. libraries before incremental compile

  • DVT-6612 Members sorting in Types/Verification Hierarcy Views is unstable

3.5.19 (21 August 2014)

Features

  • DVT-6592 Ability to browse and search type members in Types View

Enhancements

  • DVT-4050 Add -perspective switch to dvt_cli.sh importProject

  • DVT-5255 In dvt and ius.irun compatibility modes .pslvhdl extension should be mapped to VHDL Language Syntax

  • DVT-5418 Ability to specify prefixes that trigger autocomplete

  • DVT-6051 Hierarchical search in Types View

  • DVT-6158 Cross-platform Quick Search clear button

  • DVT-6587 Automatically show Types Members view and select first match when search string includes dot

Bugfixes

  • DVT-4736 Eclipse 4: Dynamic Launch Configuration Shortcuts key bindings do not work

  • DVT-6250 Sometimes project open causes a NullPointerException

  • DVT-6459 New DVT File wizard throws NullPointerException when filling in file name with .build extension

  • DVT-6570 Specador: FileNotFoundException (Not a directory) when generating documentation using the new HTML style

  • DVT-6596 Settings management engine doesn't always work on key bindings with parameters

3.5.18 (1 August 2014)

Enhancements

  • DVT-5715 F2 on identifier should show tooltip

  • DVT-6211 Highlight javadoc pragmas

  • DVT-6234 Custom Dialogs: DirectoryFilesListing widget should support listing directories

Bugfixes

  • DVT-6209 Fixed cause for "Job found still running after platform shutdown" message on exit

  • DVT-6065 Eclipse 4: Waive Problem in Problems View context menu doesn't work

  • DVT-6066 Eclipse 4: SVN plugin throws an error when DVT Auto-Linked is created

  • DVT-6461 Eclipse 4: No content in Problems/Tasks View when focus is on a different stacked view (patch for Eclipse Luna 4.4.0)

  • DVT-6482 Eclipse 4: Missing Copy Full Path action in Navigator View context menu

  • DVT-6513 Eclipse 4: Tool Bar Customization / DVT Build category shows multiple empty buttons

3.5.17 (25 July 2014)

Features

  • DVT-5560 Specador: Ability to customize the HTML look & feel when new HTML style is used

  • DVT-6487 Specador: Ability to inject HTML in generated documentation when new HTML style is used

Enhancements

  • DVT-6113 Ability to "add as -y library", similar with "add as incdir" from Navigator right click menu

Bugfixes

  • DVT-6520 Trace Connections from Editor not working for cross language signals and ports

  • DVT-6526 Specador: Watermark footer missing in new HTML style

3.5.16 (8 July 2014)

Features

  • DVT-6485 Specador: New HTML look & feel

Bugfixes

  • DVT-4775 Ability to insert single proposals automatically

  • DVT-5197 File not opened in the correct folder, but in DVT Auto-Linked

  • DVT-5550 Specador: Method argument comments are not extracted

  • DVT-6210 Specador: Fixed JavaDoc @link hyperlink extraction when similar links are used

  • DVT-6432 Specador: Refresh web browser after new documentation is generated

  • DVT-6481 False UNDECLARED_IDENTIFIER semantic error reported for 'DEALLOCATE'

  • DVT-6483 False syntactic error when using 'A <- B' (instead of A < -B)

  • DVT-6484 False UNDECLARED_IDENTIFIER semantic error reported for function().member of record

  • DVT-6492 Rebuild fails after adding a nature to a project

  • DVT-6498 Eclipse 4: Fixed java.lang.NoClassDefFoundError in AbstractTextEditor affecting some versions of Eclipse 4.2

  • DVT-6499 NullPointerException when DVT_COMMON_SETTINGS is used and DVT_USER_SETTINGS is not set

  • DVT-6504 Performance degradation when creating Auto-Linked resources

3.5.14 (24 June 2014)

Enhancements

  • DVT-4411 Trace Connections of internal signals from editor

  • DVT-6372 Unify the task tags and comments collection with the parsing of the file

Bugfixes

  • DVT-5968 Read-only pop-up not working for file with rw:r:r permissions when opened by other user

  • DVT-6001 Trace Connections from editor when only one top module candidate is available should automatically select it

  • DVT-6353 Specador: Overloaded functions are not visible

  • DVT-6369 Project is restored twice on project open

  • DVT-6370 Project restore cannot be interrupted by cancel button

  • DVT-6376 Read-only indication not working for file with rw:r:r permissions when opened by other user

  • DVT-6391 Do not restore when using CLI createProject command

3.5.13 (13 June 2014)

Enhancements

  • DVT-5558 Added support for VHDL multiline comment extraction

Bugfixes

  • DVT-6331 Specador: Wrong hyperlinks when including external documentation directories

  • DVT-6333 Specador: Show the external documentation title under the 'Referenced Documentation' section in TOC

  • DVT-6338 Exception while searching in Design Hierarchy

  • DVT-6348 Layers View displays wrong information when invoked on an element while the view is hidden

3.5.12 (10 June 2014)

Enhancements

  • DVT-6303 Long parsing time for multiple levels of imbricated expressions

Bugfixes

  • DVT-5469 Editing a file during build freezes the GUI

  • DVT-6288 Specador: FileNotFoundException when documentation is generated with diagrams in same location for multiple projects

  • DVT-6304 Specador: progress dialog should also include design diagrams and design diagrams with ports

  • DVT-6308 License checkout error not reported properly due to an exception in FlexLM

3.5.11 (30 May 2014)

Enhancements

  • DVT-5202 Specador: option to generate module diagrams with ports

  • DVT-6056 Open files compiled by DVT with the corresponding DVT editor (for example from Navigator)

  • DVT-6159 Inconsistency: Outline/Quick Outline/Type Hierarchy filters dialog should have Select/Deselect All buttons

  • DVT-6260 Design hierarchy doesn't find component mapping in another library

  • DVT-6069 Open problems reported by DVT with the corresponding DVT editor (for example from Problems View)

Bugfixes

  • DVT-6244 Sometimes Show Whitespace Characters throws an error

  • DVT-6273 When same sources are Auto-Linked multiple times go to declaration (from view/hyperlink) asks user to chose project

3.5.10 (22 May 2014)

Enhancements

  • DVT-5131 Enhanced VHDL HTML Documentation

  • DVT-6208 Settings management engine should handle .dvt.gtkrc settings

  • DVT-6230 Provide an example Makefile wrapper for dvt_cli.sh in the uvm-ubus predefined project

Bugfixes

  • DVT-5478 HTML Documentation: boolean values in dvt_export_html.xml that contain empty spaces are not recognized

  • DVT-6130 False semantic error for function call when class extends its parameter

  • DVT-6137 Ancestor field not visible if parent class extends its parameter

  • DVT-6207 Fixed ConcurrentModificationException preventing DVT CLI daemon to start

  • DVT-6219 False semantic error when referring VHDL-defined types/enums/constants in Verilog

  • DVT-6220 Compare Dialog is not using the editor background color

  • DVT-6224 Layers View: duplicate layers for functions in package and package body

  • DVT-6229 Changed Quick Search default messages

3.5.9 (12 May 2014)

REMOVED

  • DVT-6174 Remove support for +dvt_reparse_duplicate_top_files+ build directive

Bugfixes

  • DVT-6104 Search view Quick Search should be reset between searches

  • DVT-6105 Search view is populated slower when Quick Search is active

  • DVT-6157 Build configurations: in ius.irun compatibility mode, SPECMAN_PATH is ignored

  • DVT-6166 Eclipse 4: Fixed org.eclipse.swt.SWTException: Invalid thread access when running "dvt_cli.sh -newwindow"

  • DVT-6167 Eclipse 4: Fixed java.lang.NoSuchMethodError in AbstractTextEditor caused by a bug in DVT Patcher

  • DVT-6173 Invoking a single External Build Configuration triggers a full rebuild with all the External Builders

  • DVT-6181 HTML Documentation: cannot trigger a project rebuild from the documentation wizard

  • DVT-6198 False semantic DUPLICATE_VARIABLE error reported for procedure generic ports

3.5.8 (30 April 2014)

Enhancements

  • DVT-6147 Issue a warning at startup if incremental build (Project > Build Automatically) is disabled

Bugfixes

  • DVT-4645 Copy/Paste doesn't always work (Eclipse Bug 368354 patch)

  • DVT-4657 dvt_cli.sh -newwindow opens two windows if DVT was not already running

  • DVT-5736 Sometimes when closing a project files remain open and display an error message

  • DVT-5842 Added Outline View button for configuring element filters

  • DVT-6035 dvt_cli.sh openFile command issues an error if -line is not specified

  • DVT-6138 Semantic timeout dialog always shows at incremental build when +dvt_file_compile_timeout is set to 0 (disable)

  • DVT-6185 False semantic UNDECLARED_IDENTIFIER error when using labeled begin/end inside generate region

3.5.7 (22 April 2014)

Enhancements

  • DVT-6036 Build config: incorrect number of expected arguments for -no_error directives

  • DVT-6099 Build config: warn of unknown +dvt directives regardless of +dvt_enable_unknown_directive_warnings

  • DVT-6122 Ability to use $DVT_PROJECT_LOC environment variable in build config and external tools

Bugfixes

  • DVT-5157 External builder markers disappear when paths in default.build are target files of symlinks from project

  • DVT-6012 Views should not be updated when they are not visible

  • DVT-6077 Wrong jump to file from external builder console error when the path is relative

  • DVT-6097 Dynamic Launch Configuration Shortcuts should not be visible if they refer to closed projects

  • DVT-6109 Pre-restore dictionary size too big due to Java serialization inner class issue

3.5.6 (15 April 2014)

Enhancements

  • DVT-5888 Hierarchical search in Compile Order View

  • DVT-6016 Incremental compilation should not be performed for a build config file opened with a VHDL editor (for example when using -f my_list_of_files.vhd)

  • DVT-6018 Allow various operations like jump to definition or show hierarchy for the "after cursor" identifier

Bugfixes

  • DVT-3877 Last external builders marker takes over previous markers on same file/line

  • DVT-4796 Errors reported by external builder incremental build are not displayed in Problems View

  • DVT-6005 Compile Order view in "Show only files with errors" mode should refresh after reapplying compile waivers

  • DVT-6021 Views are not updated across multiple Workbench Windows when building a project

  • DVT-6047 External Tools: "Note" filters should use the same color

  • DVT-6095 Sometimes when opening symlinked files they get recompiled

3.5.5 (1 April 2014)

Enhancements

  • DVT-5666 Content Filters for Design Hierarchy view

  • DVT-5967 Ability to control "Disable code folding if file exceeds ### lines"

Bugfixes

  • DVT-5938 Non DVT projects should not show in specific DVT project selection dialogs

  • DVT-5961 Adding a DVT nature to a project should create the .dvt sub-directory if it does not exist

  • DVT-5962 Strange behavior of dvt_cli.sh when using "-newwindow -workingset": no console, workingset visible on focus, old window updates

  • DVT-5980 Console Log Filters: missing hyperlinks for relative paths starting with "../../"

  • DVT-5981 Wrong default template when creating a new file with explicitly specified extension in a mixed language project

  • DVT-5982 Do not notify views when incremental compilation is not performed (for example because of max number of lines)

3.5.4 (21 March 2014)

Enhancements

  • DVT-5887 Search View Quick Search should bold the matched parts of branches like in all other views

  • DVT-5930 Missing read-only notification when formatting a read-only or unchecked out file

Bugfixes

  • DVT-5918 Infinite loop sometime when BadLocationException is thrown in tooltip parser

  • DVT-5925 Default content of a New > DVT Content Filters XML may filter out all tasks in windows

  • DVT-5926 VHDL entities placed in different libraries are not visible from SV top module

  • DVT-5928 Wrong vertical align by parenthesis close, bracket close or curly close

3.5.3 (14 March 2014)

Enhancements

Bugfixes

  • DVT-5896 Trace Connections View search for port does not bold the matched ports

  • DVT-5903 Content Filters: Errors and tasks in simlinked files are not filtered

  • DVT-5905 Content filters do not apply for problems reported on files outside project

3.5.2 (11 March 2014)

Enhancements

Bugfixes

  • DVT-5886 Quick Search does not perform prefix matching on CamelCaseStrings

3.5.1 (7 March 2014)

Enhancements

  • DVT-5788 Copy full path of multiple resources

  • DVT-5818 Content Filters: ability to filter Search View by element text

Bugfixes

  • DVT-5700 HTML Documentation Generator should not report progress for each diagram

  • DVT-5795 HTML Documentation Wizard does not refresh all the settings when changing the project

  • DVT-5804 Some formatter preferences (like Vertical align > Module port declarations) are not saved under Windows OS

  • DVT-5808 Performance improvements for Content Filters

  • DVT-5810 Outline should not reveal the first matched element when new content is added

  • DVT-5811 Internal builder console is cleared when saving a build configuration file

  • DVT-5815 Changed Code Factory shortcut conflicting with Copy Full Path shortcut from Shift+Alt+P to Shift+Alt+K

  • DVT-5819 Wrong semantic errors for duplicate VHDL aliases

  • DVT-5820 Wrong semantic errors when aliases for subprograms are used

  • DVT-5824 Fixed incompatibilities with Eclipse 3.6

  • DVT-5826 Fixed dvt.sh issues on MacOS

3.5 (27 February 2014)

HIGHLIGHTS

  • Enhanced Semantic Checks: check that each identifier is properly declared and used

  • Ability to use Content Filters in order to eliminate unnecessary information overload.

  • Redesigned the tool configuration and preferences sharing capabilities. See Settings Management

  • Completely unified the DVT Perspective across all languages.

  • Quick Search available in most views

REMOVED

  • DVT-4293 Remove all incdir and define variables for External Tools Integration - ${dvt_current_build_file} should be used instead

  • DVT-5765 Removed deprecated scripts dvt_trap.sh, dvt_xterm.sh, license_utils.sh

Features

  • DVT-650 & DVT-1021 & DVT-2451 & DVT-2430 Ability to control preferences for site, team, user, project levels

  • DVT-1180 Link Back to Console from External Builder Marker

  • DVT-2943 Ability to use waivers for build configuration problems

  • DVT-3791 Ability to capitalize all keywords and types in VHDL

  • DVT-4049 Auto-Link files included by default.build

  • DVT-4412 & DVT-5112 Add ports view to Trace Connections View

  • DVT-5111 Add filter to Trace Connections View tree

  • DVT-5128 Unify Views context menu actions: Show References, Layers, Hierarchy, Instance Tree, Diagrams

  • DVT-5155 Ability to control memory using dvt.sh arguments

  • DVT-5265 Unify Checks View

  • DVT-5277 Ability to disable format for a marked region of code

  • DVT-5368 Unify Layers View

  • DVT-5610 Unify Trace Connections View

  • DVT-5763 Changed Instance Tree view to Design Hierarchy View. See Design Hierarchy View

Enhancements

  • DVT-532 Ability to easily share code templates at site level

  • DVT-4040 Unify icons across languages

  • DVT-4408 CamelCase support for quick views and views text filters

  • DVT-4795 Predefined project mx_1 does not compile using Questa Simulator

  • DVT-5025 Trace Connections toolbar icons are not intuitive

  • DVT-5044 Autoinstance declares signals for all ports

  • DVT-5068 Show matched/total number of instances in Instance Tree

  • DVT-5268 Element count in top label of views should show filtered/total info

  • DVT-5330 Mark the current trace path in the Trace Connections View tree

  • DVT-5331 Show port/signal icons in the Trace Connections View menus and ports view

  • DVT-5618 Ability to control compilation timeout via build configuration directives: +dvt_file_compile_timeout and +dvt_incremental_compile_timeout

  • DVT-5764 Updated JRE in distribution to version 1.7.0u4

Bugfixes

  • DVT-4559 When the Instance Tree gets empty after an incremental build the "work" library is shown

  • DVT-4614 Cursor jumps on another line after auto-format when using spaces instead of tabs

  • DVT-5106 Instance Tree and Trace Connections views memory leak

  • DVT-5130 Code formatting failure when vertical alignment is on

  • DVT-5210 Types View should reset after a project is cleaned

  • DVT-5380 Invoking Trace Connections in Editor triggers an error when Instance Tree is filtered

  • DVT-5485 & DVT-5554 Instance Tree loses selection when the editor is changed or when Navigator View is selected

  • DVT-5448 When the platform starts and there is no resource selected, the current project is not in sync with the highlighted project in Navigator

  • DVT-5628 Formatter error when using quote character

  • DVT-5722 Instance Tree shows the first element when it should be empty

  • DVT-5723 Show Instance Tree is not working on a VHDL entity when the top is Verilog module

3.4.28 (24 February 2014)

Enhancements

  • DVT-3845 Declaring packages with the same name should give a duplicate semantic error

Bugfixes

  • DVT-5724 Do not treat as case-insensitive gcc-like build config directives in ius.irun compatibility mode

  • DVT-5740 External Tools custom filter does not work if the pattern text ends with space/tab/new line char

  • DVT-5742 External Tools can't read from an external_builders.xml file that contains patterns with the same id

3.4.27 (29 January 2014)

Enhancements

  • DVT-5704 Allow '--{{' and '--}}' symbols as user defined code folding region

  • DVT-5687 Update DVT_UVM_HOME to point to latest uvm_1.1d

Bugfixes

  • DVT-5434 Support for predefined 'deallocate' API

  • DVT-5709 Unrecognized 'ieee.math_real.log2(...)'

  • DVT-5710 Mismatch of overloaded functions across packages

  • DVT-5720 Wrong type assignments to variables in functions

3.4.26 (8 January 2014)

Bugfixes

  • DVT-5680 For VHDL, the -work directive is effective only for the subsequently specified top files

3.4.25 (18 December 2013)

Bugfixes

  • DVT-5659 HTML Documentation: generating documentation throws an error when the option "Exclude files outside project" is set

  • DVT-5664 Inserting text in block selection mode skips characters in some environments, fixed for Eclipse Platform 3.8.1 and DVT distros

3.4.24 (2 December 2013)

Enhancements

  • DVT-5616 Open non-DVT perspectives when creating project with dvt_cli.sh

  • DVT-5629 Trim whitespace characters after new line in auto-indentation

Bugfixes

  • DVT-5632 Instance tree should not be updated on every resource selection

3.4.23 (22 November 2013)

Enhancements

  • DVT-4906 Automatically continue comment on new line when editing inside a block comment

  • DVT-5481 Show the parameter descriptions instead of the parameter names in Add From Project Template dialog

  • DVT-5604 False error reported in default.build for -licwait directive

Bugfixes

  • DVT-5582 VHDL configuration specification does not resolve Verilog modules in the same library

  • DVT-5607 Long-running background activity after Replace All with many occurrences

3.4.22 (15 November 2013)

Bugfixes

  • DVT-5579 "Resource is inaccessible" error in DVT Auto-Linked when updating change sets for SVN

  • DVT-5583 Referencing an entity/package by work.entity/work.package.type triggers false UNDECLARED_IDENTIFIER/ENTITY/TYPE errors

3.4.21 (14 November 2013)

Enhancements

  • DVT-5566 Added dvt_cli.sh -p4 flag for sharing project with Perforce

Bugfixes

  • DVT-5574 Internal builder console hyperlinks don't work for locale-specific number format (like 1,234)

3.4.20 (8 November 2013)

Enhancements

  • DVT-5530 dvt_cli.sh -silent flag should shut down all INFO & WARNING messages

Bugfixes

  • DVT-5471 External Tools: avoid error/warning/note color nuances for automatic smart log colors

  • DVT-5531 In ius.irun compatibility mode -assert directive should take no args

  • DVT-5559 After platform startup, text selection in a DVT editor triggers restore from dictionary for all projects

3.4.19 (1 November 2013)

Bugfixes

  • DVT-5484 Module diagrams sometimes throw NullPointerException

3.4.17 (29 October 2013)

Enhancements

  • DVT-5468 Build Progress indication with invocation and top file granularity

Bugfixes

  • DVT-5225 Entity auto-instance code is not indented correctly

  • DVT-5437 Change Extended help dialog title from "DVT Generic - Extended error ID info" to "Error ID info"

  • DVT-5438 New File Wizard stuck when changing nature and filtering template

  • DVT-5470 "Create Testbench" not working for values of entity generics that start with $

3.4.16 (11 October 2013)

Feature

  • DVT-3755 Ability to "copy" entity and "paste" as instance, signals or testbench. See Code Factory

Enhancements

  • DVT-5465 Add from Project Template scans for default parameter values in both source and target template.config

Bugfixes

  • DVT-5461 Platform not closing sometime due to save dictionary pop-up (pop-up cannot be dismissed)

  • DVT-5466 Project Template Wizards don't work with parametrized directory names

3.4.15 (4 October 2013)

Enhancements

  • DVT-5446 Save dictionary in compressed format

Bugfixes

  • DVT-4664 Restore opened files when running dvt_cli.sh createProject -force

  • DVT-5361 Set active build configuration is not consistent across multiple Eclipse Workbench Windows

  • DVT-5426 The "please wait..." info box sometimes persists after successfully generating diagrams

  • DVT-5449 Add From Template: add option to select a predefined project as a source directory

  • DVT-5450 New Project from Template and Add from Template corrupts the binary files in the template project

3.4.14 (25 September 2013)

Deprecated Support for deprecated build configuration files will be removed in the next major DVT release (.dvt/.vhdl_top_files, .dvt/.vhdl_ignore_files).

Enhancements

  • DVT-4273 & DVT-5410 Added dot in Windows distros

Bugfixes

  • DVT-5415 Duplicated key binding Ctrl+Y for "Redo" in Windows

  • DVT-5422 Instance Tree Ports View broken in VHDL

3.4.13 (20 September 2013)

Enhancements

  • DVT-1180 Link Back to Console from External Builder Marker

  • DVT-5096 Ability to include compile waivers

  • DVT-5165 Add "read only" file indication in Navigator View, Editors and Refactoring Preview

  • DVT-5314 Match brackets to the left and right of the cursor

  • DVT-5378 Editor setting for changing the color of the matched bracket highlight

  • DVT-5390 Updated ViPlugin for DVT to 2.11.0

  • DVT-5392 Corresponding matching bracket highlight when emulating vi

  • DVT-5396 Minimize number of disk accesses when writing to dvt_build.log

Bugfixes

  • DVT-4654 ViPlugin state not persisted between sessions

  • DVT-5384 User interface is not responsive while generating diagrams

  • DVT-5402 Unwanted ^M control character added when instantiating an entity

  • DVT-5407 Ability to cancel diagram generation

3.4.12 (11 September 2013)

Features

  • DVT-5349 Support for VHDL 2002 standard

  • DVT-5350 Support for VHDL AMS 2007 standard

Enhancements

  • DVT-5147 Disable auto-complete triggering (beep) when typing a '.' inside comments

Bugfixes

  • DVT-5366 Keyword "default" not accepted as identifier in VHDL 2008 language syntax

3.4.11 (9 September 2013)

Enhancements

  • DVT-3091 Show project name in console title for Run Configurations and External Builders

  • DVT-4558 New ${dvt_cli} run configuration variable

  • DVT-5087 Ability to query the running status of DVT

  • DVT-5223 Ability to query the version of running DVT

  • DVT-5315 Ability to customize nchelp tool name/path to show extended help in console

Bugfixes

  • DVT-4657 dvt_cli.sh -newwindow opens two windows if DVT was not already running

  • DVT-4757 & DVT-4995 When tracing inout ports no target icon decorations are shown in the Trace Connections View

  • DVT-4778 When creating/importing a project via dvt_cli.sh, auto-select "Window Working Set" in Open Resource dialog

  • DVT-5346 "Project" sub-menu not showing for VHDL projects context menu

  • DVT-5356 Instance tree is not populated after project restore

3.4.10 (26 August 2013)

Bugfixes

  • DVT-4690 dvt.sh/dvt_cli.sh should issue an error if provided a non-existing eclipse.ini

  • DVT-5313 Rebuild buttons are only visible in the first Eclipse Workbench Window

  • DVT-5333 Trace Connections View memory not cleaned properly between trace port operations

  • DVT-5334 NullPointerException when searching for references on predefined libraries

  • DVT-5336 VHDL AMS keywords are not ignored in VHDL 2008 compatibility mode

  • DVT-5338 Switching a project doesn't update the Instance Tree View when no top module is specified

  • DVT-5339 VHDL 2000 keywords are not ignored in VHDL AMS 99 compatibility mode

  • DVT-5340 Update Clearcase plugin to version 2.2.34 to fix some small issues

  • DVT-5341 Change distro name form DVT_CUSTOM_KIT to DVT_KIT

3.4.9 (14 August 2013)

Enhancements

  • DVT-5306 Hide "Project" sub-menu for non DVT project's context menu

  • DVT-5307 Hide "Validate" and "Format Source" menu items added by XML plugins on non XML files

Bugfixes

  • DVT-5308 Cancel does not work for hyperlink file selection dialog

  • DVT-5311 Open declaration hyperlink no longer working correctly (flickering)

3.4.8 (12 August 2013)

Enhancements

  • DVT-5120 Added support for -eclipse_args for dvt_cli.sh

  • DVT-5297 Add External Tools filters for SpyGlass logs

Bugfixes

  • DVT-5036 Types/Compile Order Views should refresh when opening a project

  • DVT-5244 Compare with > Other Resource ... from editor does not work

  • DVT-5296 Sometimes the external tools filter variable '${file}' does not match correctly

3.4.7 (5 August 2013)

Enhancements

  • DVT-5208 Slow hyperlink to module definitions in large designs

Bugfixes

  • DVT-4703 Architecture variable, wrong "not declared" semantic error in instantiated unit

  • DVT-4749 Run configurations session wrapper doens't check for all necessary binaries

  • DVT-4787 Run configurations default command/script should not use csh/tcsh with -i flag

  • DVT-4788 Run configurations generated script doesn't run the last when using csh/tcsh

  • DVT-5266 External builders persistence file defaults to the deprecated .dvt/.dvt_builders instead of external_builders.xml

  • DVT-5269 External Build button should invoke all external builders instead of the last ran builder

  • DVT-5270 Wrong syntactic errors reported for PSL property declarations in VHDL 2008

  • DVT-5284 Disabled EMACS+ plugin auto activation in distros to prevent clipboard problems

  • DVT-5285 Added range information for vector types

  • DVT-5290 Wrong semantic error for records of a type used in a subtype

3.4.6 (26 July 2013)

Enhancements

Bugfixes

  • DVT-4997 Auto-instance a VHDL entity in Verilog using content assist

  • DVT-5234 HTML Documentation: spacing and tabs indentation problems for NaturalDocs formatting

  • DVT-5246 Compile Order View: collapse all does not work

  • DVT-5258 Wrong semantic error reported when variable associated type is access or record type

  • DVT-5259 Wrong semantic error reported when alias an enumerated value

  • DVT-5260 Wrong semantic error reported for variables defined inside protected body of a type

3.4.5 (22 July 2013)

Enhancements

  • DVT-4509 Build configurations: +dvt_auto_link_root (without trailing +) issues "unknown directive" instead of "badly specified directive"

  • DVT-4820 Build configurations: allow usage of +dvt_auto_link_root only in first invocation

  • DVT-4960 Survive duplicate directives like "-liblist -liblist arg"

  • DVT-5009 View filters should cancel an ongoing search operation when filter text is changed and trigger a new search (Instance Tree/Types/Compile Order)

  • DVT-5024 Redesigned the select instance dialog for Trace Connections from Editor

  • DVT-5199 Use a bidirectional edge when indirectly connecting incompatible ports

Bugfixes

  • DVT-4663 dvt_cli.sh exit code should reflect the operation result

  • DVT-5203 Trace Connections View is cleaned after building any project

  • DVT-5211 Set the correct window size for module diagram's legend

  • DVT-5215 DVT views flicker after building projects that shouldn't affect them

  • DVT-5222 Eclipse Juno - "Cannot get the parent identifier from an undefined context..." exception

  • DVT-5229 Cross language hyperlinks open the file in wrong editor

  • DVT-5231 Library logical name WORK denotes the current working library during a given analysis

  • DVT-5233 Code Templates View: no error message when creating a template with un-escaped "$" characters

  • DVT-5238 Auto-indent after entity instantiation should preserve indentation

3.4.4 (9 July 2013)

REMOVED

  • DVT-5188 Removed support for DVT Auto-Linked language specific subfolders ("SystemVerilog", "e-Language" and "VHDL")

Enhancements

  • DVT-4542 Match only elements of same kind when folding and performing doubleclick selections

  • DVT-4748 Use cache when clicking through diagrams

  • DVT-4754 Add an indication for array of instances in module flow diagrams

  • DVT-4870 Trigger an error when using DVT-defined Environment Variables for +dvt_auto_linked_root

  • DVT-4934 Auto-indentation in blocks delimited by curly brackets

  • DVT-5114 Module diagram hyperlinks not working when navigating using breadcrumb

  • DVT-5115 Update the legend of module flows diagrams in order to be VHDL compatible

  • DVT-5123 Update the legend of module flows diagrams with hyperlink hints

  • DVT-5136 Update default SystemVerilog language Syntax to SystemVerilog 2012

  • DVT-5138 Wrong editor tab tooltip for DVT Auto-Linked files starting with e-Language/SystemVerilog/VHDL prefixes

  • DVT-5175 Update documentation to reflect default VHDL 2008 Language Syntax

Bugfixes

  • DVT-4033 Report an Issue: "save as zip" not closing the wizard

  • DVT-4768 F3 is not working in the Build Configuration editor

  • DVT-5092 Cancel does not work while generating HTML Documentation with diagrams

  • DVT-5116 Formatter hangs with certain vertical alignment token sets

  • DVT-5122 Wrong jump to editor for ports/signals with similar names

  • DVT-5139 Run configurations session wrapper throws errors in some "sh" replacements (e.g. dash in Ubuntu) due to non POSIX syntax

  • DVT-5142 Refactor rename not working properly when more than 10 files are changed

  • DVT-5156 Double click on Compile Order View during build freezes the DVT

  • DVT-5176 VHDL auto-indentation issues in empty lines

  • DVT-5194 New File Wizard does not show an error message when creating a new file in a virtual folder

  • DVT-5196 HTML Documentation: open index.html in the platform's internal web browser (instead of the default editor)

  • DVT-5204 Fixed "Could not set character size" error when displaying diagram's legend and preferences

3.4.3 (21 June 2013)

Enhancements

  • DVT-5056 Support for encryption directives in VHDL 2008

  • DVT-5107 Improved the display of ports and signals in the Trace Connection View

  • DVT-5108 Added support for NUMERIC_STD_UNSIGNED package

Bugfixes

  • DVT-5038 Types View: after platform restart icons are not visible

  • DVT-5043 VHDL outline view preferences are not persistent

3.4.2 (14 June 2013)

Enhancements

  • DVT-4223 Persist the Instance Tree Ports View settings

  • DVT-4761 Add a button to show the legend of module flows diagrams

  • DVT-4992 Disable "Use dot from distribution" checkbox in non-linux environments

Bugfixes

  • DVT-4858 Quick filter in Types View should expand the tree and select the first match

  • DVT-5035 Types View search filter sets focus on wrong type if project has multiple natures

  • DVT-5052 Trace Connections View is not cleaned when closed

  • DVT-5076 Add to default.build does not add build configuration files

  • DVT-5077 Always open with DVT editor when double click in Compile Order View

  • DVT-5082 Wrong undeclared variable when using VHDL block statements that include port declarations

  • DVT-5091 Instance Tree View memory leak

  • DVT-5094 All refactoring operations should only validate editing of the files that will be modified (make writable/check out)

  • DVT-5095 Incorrect trace path shown in Trace Connections View for signals with the same name

3.4.1 (7 June 2013)

Performance

  • DVT-5050 Trace Connections optimizations for big instance trees

  • DVT-5073 Performance improvement on DVT-Auto Linked files creation (part 2)

Enhancements

  • DVT-4827 Print total build time in human-readable form

  • DVT-5065 Added trunc(...) procedure to math_real package

  • DVT-5066 Added predefined types boolean_vector, integer_vector, real_vector, time_vector, file_open_kind, file_open_status

  • DVT-5067 Added predefined string read procedures sread(...) and string_read(...)

  • DVT-5074 Added Trace Connections message dialog for operation already in progress

Bugfixes

  • DVT-5026 Diagram preference page incorrectly manages invalid dot executable

  • DVT-5027 Types View drag and drop entity auto-instance does not work on Windows

  • DVT-5028 Trace Connections View memory leak

  • DVT-5034 Quick Types/Compile Order Views should show Select Project dialog if no project is selected

  • DVT-5046 Quick Types/Compile Order Views do not close when trying to open an element that is already opened in an editor

  • DVT-5047 Types View drag and drop entity auto-instance doesn't scroll through vlog/vhdl editor

  • DVT-5053 HTML Documentation Wizard overview description file error if the file "readme.txt" is not found

  • DVT-5063 Path hyperlinks are improperly formatted when file name contains '&' character

  • DVT-5064 Path hyperlinks are improperly formatted when file name contains whitespace

3.4 (2 June 2013)

HIGHLIGHTS

Performance

  • DVT-5032 Performance improvement on DVT-Auto Linked files creation

Features

Enhancements

  • DVT-3107 Unify task tags preferences

  • DVT-3131 Remove checkboxes from the entries in Tasks View

  • DVT-4023 Added Why section in the Trace Connections View to see trace path of a port or signal. See Trace Path

  • DVT-4634 Mixed Language Support: Quick Types View should show information for all languages instead of asking to select a language

  • DVT-4724 Unified "Project Statistics"

  • DVT-4975 Synchronized Why section with the Trace Connections View

  • DVT-4976 Add icons to the ports displayed in the Why window

  • DVT-4977 Differentiate between sources and destinations in Trace Connections View

  • DVT-4987 Enable "Change DVT Nature" popup menu action, regardless of current perspective

  • DVT-4990 Mixed Language Support: Quick Compile Order should show information for all languages instead of asking to select a language

  • DVT-4996 Support for drag and drop VHDL entity auto-instance

  • DVT-5004 Ability to search for ports in the Instance Tree View

  • DVT-5018 Added progress reporting for trace port operations

Bugfixes

  • DVT-4674 Diagram "Save as" should update supported extensions when dot binary changes

  • DVT-4714 Refactor Connect: toolbar changes position depending on the order of button presses (ports view, connect toolbar)

  • DVT-4929 Add support for simple wildcards (* and ?) for Instance Tree filtering

  • DVT-4973 Relative paths in environment inherited $SPECMAN_PATH are not resolved as relative to compilation root

  • DVT-5005 Build Config Editor - Some top files include patterns don't get recognized under Windows

  • DVT-5031 Connect ports: toggle ports view after performing a connect operation duplicates the connect toolbar

3.3.8 (24 May 2013)

Enhancements

  • DVT-5013 Report error if end label does not match the name of the package/entity/architecture, etc.

Bugfixes

  • DVT-4833 False undeclared variable error for argument of function call

  • DVT-4836 False undeclared type std_logic error when syntactic error occurs after use ieee.std_logic_1164.all clause

  • DVT-5006 Types View: double click on a type does not open it in a new editor (throws a null exception)

  • DVT-5014 Wrong semantic errors reported when component/entity is instantiated

3.3.7 (17 May 2013)

Enhancements

  • DVT-3139 Allow creating a new file under the DVT Auto-Linked folder using the New File Wizard

Bugfixes

  • DVT-4815 Fixed MacOS dot crashes by disabling orthogonal routing option for this platform

  • DVT-4834 Files under DVT Auto-Linked roots are not removed when added as linked resources

  • DVT-4993 Prevent a dictionary restore when a full build will follow

3.3.6 (27 April 2013)

CLEANUP

  • DVT-4944 Renamed "Trace in Design" as "Trace Drive and Load" to reflect the changed functionality

Enhancements

  • DVT-4661 Build Config: turn off "Unknown directive" warnings by default

  • DVT-4662 Build Config: add support for +dvt_enable_unknown_directive_warnings

Bugfixes

  • DVT-4626 On MacOS dvt.sh issues an error (tee: illegal option -- -)

  • DVT-4803 Custom Dialogs: Directory Files Listing widget presents an empty list on the first run

  • DVT-4922 Project right click > Add to default.build should work if .dvt directory does not exist or is empty

  • DVT-4923 Project right click > Add to default.build should refresh default.build file after updating it

  • DVT-4925 VHDL auto-indentation problems after "port map"

  • DVT-4938 Trace Connections View doesn't show the vertical scrollbar

  • DVT-4941 Project right click > Ignore Files does not add the correct path to default.build

  • DVT-4943 DVT Wizards menu entries not visible in DVT Perspective in project right click menu

  • DVT-4947 Some images are not displayed in the built-in documentation

3.3.5 (22 April 2013)

Enhancements

  • DVT-4876 HTML Doc Wizard: enhanced support for absolute paths for documentation output directory path

  • DVT-4899 HTML Doc Wizard: enhanced support for system variables in paths

  • DVT-4914 Improve Instance Tree filtering performance

  • DVT-4921 Document Instance Tree View filtering

Bugfixes

  • DVT-4849 Predefined Projects: launch configurations might not work because of 32/64 bits compatibility problems

  • DVT-4877 HTML Doc Wizard: open index.html in web browser after generating documentation in a directory outside the project

  • DVT-4902 New File Wizard shows an error message when trying to create a new file inside a virtual folder

3.3.4 (15 April 2013)

Performance

  • DVT-4731 Limit the number of proposals on autocomplete

  • DVT-4851 Code formating is slow when applied to large files

  • DVT-4852 Autocomplete auto-instance slow when large number of modules or large number of ports

  • DVT-4866 Populating the instance tree is slow for large designs

Enhancements

  • DVT-4603 Content assist option to combine both search algorithms (CamelCase and prefix match)

  • DVT-4722 Changed Trace in Design to show the reunion of Trace Drive and Trace Load

  • DVT-4799 Add pointers to Code Template property pages in New File Wizard

  • DVT-4813 Updated FlexLM libs to v.11.11.1 to add support for "INCLUDE ... PROJECT" option

Bugfixes

  • DVT-4592 Fixed dvt.sh to work with "-eclispe_args -pluginCustomization ..." arguments

  • DVT-4794 New Project Wizard should not overwrite existing default.build

  • DVT-4830 "Resource is inaccessible" error when the DVT Auto-Linked folder is cleaned

  • DVT-4842 dvt_plugin_installer.sh delete directive uses only the last delete argument and ignores the rest

  • DVT-4864 Generating HTML Documentation does not work if a build job is in progress

  • DVT-4872 Duplicate error message missing first hyperlink if element declaration line number > 999

3.3.3 (2 April 2013)

Performance

  • DVT-4225 Module flow diagram performance improvements for large designs

  • DVT-4742 Slow hyperlink to definition or tooltip in very large files

  • DVT-4743 Slow hyperlink to assignment in very large files

  • DVT-4744 Slow typing or navigating (scroll) through very large files

Enhancements

  • DVT-4769 Rebuild buttons should have the corresponding action in the drop-down menu

Bugfixes

  • DVT-1231 Diagram, HTML Documentation, and OVM/UVM Compliance editor windows should close on project close/delete

  • DVT-4519 Fixed wrong auto-indentation after "when ... else ... " statement

  • DVT-4700 For SVN distros, after update to 3.3.1, errors are incorrectly thrown by the DVT Auto-Linked folder

  • DVT-4717 Empty menu entry in Window -> Customize Perspective -> Toolbar Visibility tab-> DVT Build

  • DVT-4729 Copy full path doesn't work for DVT Auto-Linked folders

  • DVT-4735 Dynamic Shortcuts in Eclipse 4 - generated icons are not visible on the main toolbar

  • DVT-4774 External Questa builder is not working out of the box on Windows

  • DVT-4798 The +dvt_env+ build directive should accept quoting the environment variable value

3.3.2 (22 March 2013)

Bugfixes

  • DVT-4604 Fixed FlexLM client to prevent opening too many threads in some situations

  • DVT-4693 Fixed FlexLM client reconnection problems by reducing reconnection time after idling

  • DVT-4707 Predefined Projects Wizard - some fields are not displayed correctly when the monitor resolution is less than 1024x768

  • DVT-4708 Outline view is notified multiple times after an incremental compile

  • DVT-4709 Built-in predefined functions 'endfile()' and 'file_close()' not available when using textio package

  • DVT-4710 Compilation fail sometime when the project contains linked resources

3.3.1 (15 March 2013)

Enhancements

  • DVT-4639 Overwrite closing brackets instead of adding another

  • DVT-4675 Diagram save as should append the .<ext> to file name specified by the user

Bugfixes

  • DVT-4579 Extend automatic check-out to "Search and Replace"

  • DVT-4670 Stack overflow when adding a key binding to a dynamic shortcut

  • DVT-4676 Diagram preview dialog errors out with "cannot find font"

  • DVT-4688 Increase/Decrease Editor Font Size does not work after modifying the default font from Windows -> Preferences

3.3 (10 March 2013)

HIGHLIGHTS

  • Support for the VHDL-2008 standard

  • Semantic checking of undeclared signals

  • Ability to save a diagram in various graphical formats like jpeg, png, svg (see Diagrams)

  • Ability to specify the "Run Configuration Session Type", mainly in order to allow for proper termination of all spawned processes when launching an external tool (see Run Configuration Session Type)

  • Ability to select if a run configuration is a command or a multi-line script (see Run Configurations)

  • Ability to specify one or more "roots" that DVT Auto-Link can use instead of the full hierarchy, in order to flatten the deep Auto-Link hierarchy (see DVT Auto-Linked)

  • New HTML Documentation wizard with supp

REMOVED

  • DVT-4370 Removed support for pre DVT 2.9.7 local licenses (DVT_LICENSE_VERSION is not used anymore)

DEPRECATED

Features

  • DVT-2432 VHDL support for 2008 standard

  • DVT-4217 Ability to specify one or more "roots" that DVT Auto-Link can use instead of the full hierarchy. See DVT Auto-Linked

  • DVT-4466 Integrated dvt_trap.sh and dvt_xterm.sh functionality in run configurations (see Run Configuration Session Type)

  • DVT-4578 Ability to select if a run configuration is a command or a multi-line script

Enhancements

  • DVT-3780 Mixed Language Support: New HTML Documentation wizard with support for projects with multiple natures

  • DVT-4042 When changing project nature, don't create default.build if .*_top_files already exist

  • DVT-4047 Mixed Language Support: Unify toggle nature actions

  • DVT-4100 Ability to save a diagram in various graphical formats like jpeg, png, svg

  • DVT-4365 Generate Html Documentation in dvt_html_doc directory

  • DVT-4386 Jump to matching case statement alternative

  • DVT-4419 Add color preferences page for the *.build configuration file editor

  • DVT-4433 Serialize Instance Tree top architecture in default.build and automatically populate the view

  • DVT-4593 Allow sub-second granularity for dvt_debug_utils.sh -thread_dump

  • DVT-4594 Create an icon for VHDL perspective

  • DVT-4600 Update copyright in plugin description

  • DVT-4640 Don't prompt the user for making files writable, when automatic check-out fails

Bugfixes

  • DVT-2672 & DVT-3005 Console View Terminate does not work (due to background processes holding stdout) is fixed when using a new session (see Run Configuration Session Type)

  • DVT-4315 Html Documentation Wizard is not in sync with the .xml settings file

  • DVT-4413 Shift + F4 on non-types should not clear the instance tree but bring it into focus

  • DVT-4570 BuildConfig Editor doesn't correctly match numbers

  • DVT-4638 New File Wizard - "Browse" button does not work if "Directory" field is empty

  • DVT-4646 Automatic check-out: text following ${selected_resources_loc} variable gets lost

3.2.21 (8 March 2013)

Bugfixes

  • DVT-4638 New File Wizard - "Browse" button does not work if "Directory" field is empty

3.2.20 (6 March 2013)

Bugfixes

  • DVT-4628 Internal builder triggers external builder for files opened from outside project

  • DVT-4636 Set current build complains about read-only build_config.xml

3.2.19 (5 March 2013)

Bugfixes

  • DVT-4622 Spurious internal debug messages in console

3.2.18 (4 March 2013)

Bugfixes

  • DVT-4520 Wrong indent after 2 spaces on a new line

  • DVT-4599 Set current build fails silently when build_config.xml is read only

  • DVT-4606 In OSX, generating a predefined diagram with no dot in PATH and no type compiled opens several windows that cannot be dismissed with the mouse

  • DVT-4613 Memory leak when importing/deleting projects several times

3.2.17 (20 February 2013)

Enhancements

  • DVT-4030 Workingset selection toolbar button is missing in DVT perspective

  • DVT-4302 Add buildProject command to DVT CLI

  • DVT-4538 Custom Dialogs: when ElementListSelectionDialog widget has many entries the previous selection is restored very slow

  • DVT-4552 Add -blocking flag to DVT CLI refreshProject

Bugfixes

  • DVT-4435 Unwanted sticky selection when reloading a file that changed on disk

  • DVT-4551 Don't auto-insert closing bracket )]} if there are characters after

  • DVT-4565 Format preference page - NullPointerException when enabling/disabling external program formatting

  • DVT-4566 Format preference page - broken layout for line wrapping note

3.2.16 (11 February 2013)

Features

  • DVT-1690 Hooks for scripts to perform automatic check-out when editing a read-only file

Bugfixes

  • DVT-4515 A more informative error message if there is a name collision between an imported project and one from the current workspace

  • DVT-4492 When using split editors, selecting a word in one of them reveals the cursor position in the other

3.2.15 (28 January 2013)

Bugfixes

  • DVT-4491 Run Configurations wizard is very slow when changing settings

  • DVT-4497 Console hyperlink is opening the wrong file when both physical and symbolic link files are under project

3.2.14 (23 January 2013)

Bugfixes

  • DVT-4490 Delete at cursor position removes text at the last selected region offset

3.2.13 (23 January 2013)

Bugfixes

  • DVT-4487 Incremental build not always working (sometime not working for the files under project root)

  • DVT-4488 Exception thrown sometime when deleting text under vertical selection

  • DVT-4447 Building a C project will cause ClassCastExceptions to show up in the Error Log

3.2.12 (22 January 2013)

Enhancements

  • DVT-4403 Inconsistent quoting when reporting errors (e.g. Expecting "something", found 'something else')

  • DVT-4468 Update Clearcase plugin to version 2.2.27

  • DVT-4470 Overwriting closing quotation marks after typing some text

  • DVT-4484 Brush-up the defaults for external builders

Bugfixes

  • DVT-4463 Wrong hyperlink from Run Configuration Filters if there are more files with the same name

  • DVT-4472 External builders should read from .dvt_builders if it exists but no external_builders.xml exists

  • DVT-4479 Dynamic Run Configurations shortcuts wizard page - cannot change "toolbar order number"

  • DVT-4485 The toolbar containing New Project & File wizards is not visible on the main toolbar

3.2.11 (11 January 2013)

Enhancements

  • DVT-4426 +dvt_env+ directive should accept environment variables in variable names

  • DVT-4459 Dynamic Launch Configuration Shortcuts - show toolbar icon & consider key binding for read-only configurations

Bugfixes

  • DVT-3642 Select word under cursor and find next occurrence (Ctrl + 8 <=> Alt + Shift + Up, Ctrl + K)

  • DVT-3765 Wildcard patters don't work for Windows network paths like \\...\...\...

  • DVT-3978 Build Config Editor - Environment vars defined in the build file are not shown by content assist

  • DVT-3979 Build Config Editor - Content assist for relative paths will not work properly if compilation root changes

  • DVT-4376 In default.build, when trailing + is missing for a directive, DVT throws an IndexOutOfBounds error

  • DVT-4393 In IUS.IRUN compatibility mode, directives should be case-insensitive.

  • DVT-4423 Code Templates View automatically expands on resource change causing selection loss

  • DVT-4424 In default.build: allow defining env variables with names containing other env variables

  • DVT-4431 Allow text being selected in a single editor at a time

  • DVT-4437 Project Templates should not consider x_param__ or __param_x as valid parameters

  • DVT-4444 Specman error message not recognized by run configurations filters

  • DVT-4445 Specifying -snpath in default.build without any prior SPECMAN_PATH definition triggers an internal error

  • DVT-4446 In default.build, warn when -snpath appends to an existing SPECMAN_PATH value

  • DVT-4448 Disable DVT section from project properties page if the project does not have any DVT natures

  • DVT-4453 Ability to change path pattern wildcard timeout for default.build by +dvt_path_pattern_timeout+<seconds> directive

3.2.10 (24 December 2012)

Enhancements

  • DVT-3105 Change project (update views) when working with editors on config files

  • DVT-4127 Trace port in gate level designs (netlists)

  • DVT-4279 Auto-insert pair character when typing '"', '{', '[' or '('

  • DVT-4309 Update external builder default command to use ${dvt_current_build_file}

  • DVT-4397 Support for multiple selection in Directory Files Listing (Custom Dialogs widget)

  • DVT-4398 New Linked Resource Wizard fields are empty when triggered after selecting an Auto-Linked file

  • DVT-4400 Ability to discard the id of a Custom Dialog widget, when its value is empty

Bugfixes

  • DVT-4278 Diagram editor help button doesn't work

  • DVT-4353 Eclipse Juno - Cancel Custom Dialog triggers an error instead of canceling the action

  • DVT-4399 Eclipse Juno - Add support for build buttons and dynamic Run Configuration shortcuts

  • DVT-4404 Refresh on external builders property page does not work after removing/modifying a builder

  • DVT-4416 Auto-indent issue for "if-then-else" nested statements

3.2.9 (11 December 2012)

REMOVED

  • DVT-4383 Removed Glance plugin because it was causing problems with views repainting

Enhancements

  • DVT-3809 Buttons on main toolbar for increasing / decreasing the editor's font size

  • DVT-4367 Updated code templates to place cursor in right position after insertion

  • DVT-4379 Cleaned formatting for code templates

  • DVT-3950 DVT CLI should select the project after createProject/importProject

  • DVT-4147 Trigger warnings for the ignored directives encountered in default.build

  • DVT-4180 Add invocations list and number of waived issues to build log

  • DVT-4213 Add refresh command to DVT CLI

  • DVT-4358 Add quit command to DVT CLI

  • DVT-4371 Console button for printing build log summary

Bugfixes

  • DVT-4169 On some OSs, after Quick actions (ex. Quick Outline) the focus is lost for entries in the current file

  • DVT-4184 Cannot delete project from disk, in windows and some linux OSs due to dvt_build.log still held open

  • DVT-4366 File extension resets to default when changing file's name in New File Wizard

  • DVT-4377 Editor freezes sometime due to an infinite loop in syntax highlight algorithm

  • DVT-4378 Console logs filters don't properly highlight some log message regions

  • DVT-4382 Code templates preview does not use the global font settings

  • DVT-4384 The -f include tree is printed multiple times in the build console for mixed language projects

  • DVT-4385 Semantic errors are not reported in Build Console

  • DVT-4389 Fixed Project -> Add to Test files, incdir... for Eclipse Juno

3.2.8 (4 December 2012)

REMOVED

  • DVT-4361 Removed key binding for "Select Project" (Ctrl+Shift+Z) because it was in conflict with the new binding for "Redo"

Enhancements

  • DVT-3576 Multiple hyperlinks from instance to component, entity, architectures

Bugfixes

  • DVT-3984 Added back the key binding Ctrl+Y for "Redo" as it was changed in Eclipse to Ctrl+Shift+Z

  • DVT-4362 Fixed empty key bindings for rebuild internal and external commands

3.2.7 (3 December 2012)

Enhancements

  • DVT-4069 Updated Eclipse Platform to 3.8.1 and all the plugins from distribution

  • DVT-4156 Semantic references search in the current file

  • DVT-4222 Hierarchical Filtering in the Instance Tree View using slash (/)

  • DVT-4272 Run Configurations Launch Command - add support for Windows

  • DVT-4311 Show file extensions based on project's natures in New File Wizard

  • DVT-4318 Added default.build editor color preferences in DVT Themes

  • DVT-4324 HtmlDoc comment pragma to exclude types from documentation (@dvt_no_html_doc)

  • DVT-4328 Added invocation number for console reported syntactic errors

  • DVT-4339 Disabled Eclipse Update Site in distributions to speed up the updates (to enable use Preferences -> InstallUpdate -> Available...)

Bugfixes

  • DVT-4164 Fixed default spelling dictionary for DVT distros

  • DVT-4211 In column selection mode, fixed line duplication when inserting a space after closing item (ex. after END)

  • DVT-4330 Spurious AssertionFailedExceptions might occur when switching current build

  • DVT-4338 Wrong nothing to compile message when multiple invocations in default.build

  • DVT-4350 Autocomplete issue when function argument name matches one of the template variable name (e.g 'file_name')

  • DVT-4351 Missing toolbar labels (in Window -> Customize Perspective -> Toolbar visibility)

3.2.6 (19 November 2012)

Enhancements

  • DVT-4185 Added Custom Dialog screenshot to documentation

  • DVT-4216 Right-clik on a folder in DVT Auto-linked and Link Resource in Project Root

  • DVT-4254 Inserted code template by drag & drop from Templates view now indents the code

  • DVT-4257 Added a Build tab for DVT Generic Launch Configuration

  • DVT-4282 HtmlDoc - option added to remove "by <username>" watermark in the generated .html pages

  • DVT-4285 Update the problem markers differentially

  • DVT-4292 Added support for "std_logic_misc" predefined package

Bugfixes

  • DVT-3888 Skipped/Ignored files are not properly decorated

  • DVT-4137 Code templates editor now has the same background color as the DVT editor

  • DVT-4269 Slow editing when block selection mode is used on hundreds lines of code

  • DVT-4280 Incremental build duplicates errors when file is a symbolic link

  • DVT-4283 Sometimes opening a file causes its error markers to disappear

  • DVT-4284 Incremental build disables marker limits for the semantic problem markers

  • DVT-4286 In default.build, some windows paths are interpreted as unicode

  • DVT-4290 In vcs compatibility modes, un-escaping of strings does not work correctly in argument files included with -file directive

  • DVT-4297 Code Templates View hangs when creating a new template

  • DVT-4300 Sometimes semantic errors are not restored at platform restart

3.2.5 (6 November 2012)

REMOVED

  • DVT-3975 Removed outline view link with editor feature (not always working correctly on lazy tree)

Enhancements

  • DVT-3827 Update error markers when opening a file that has errors but no markers (due to Problems View marker limits)

  • DVT-4221 Ability to Show Instance Tree on right-click on a node in the Instance Tree View

Bugfixes

  • DVT-4146 System variables not expanded for +dvt_compilation_root directive

  • DVT-4132 Custom dialog example missing from File > New > Example menu

  • DVT-4200 Un-escaping of strings in default.build does not work

3.2.4 (26 October 2012)

Enhancements

  • DVT-4102 Predefined Projects - uvm-1.1c library and uvm-1.1c_ubus project added

Bugfixes

  • DVT-4126 New Project Wizard - Unable to create a project when the project location is changed

  • DVT-4201 Fixed deadlock between outline and build process

3.2.3 (17 October 2012)

Enhancements

Bugfixes

  • DVT-4088 New Project Wizard - add option to specify the project's name. See Open a Project

  • DVT-4092 New File Wizard exception when creating files that are not associated with DVT editors

3.2.2 (12 October 2012)

Enhancements

  • DVT-3618 Instance Tree View: option to copy instance path (right-click menu).

  • DVT-4045 Store diagrams generated via shortcuts in the dvt_diagrams/ directory

Bugfixes

  • DVT-4079 Code templates not visible when creating them from the Code Templates View

3.2.1 (11 October 2012)

Enhancements

  • DVT-4061 Syntax highlight for user defined types

  • DVT-4062 Show busy indicator when generating large diagrams

  • DVT-4072 Ability to include ports by name in module diagrams

Bugfixes

  • DVT-4071 Selection slow-down in DVT editors

  • DVT-4073 Too many view (instance tree, types, layers, etc.) update notifications received during build

3.2 (9 October 2012)

HIGHLIGHTS

REMOVED

  • DVT-4021 Removed eclipse.sh and eclipse.bat scripts (deprecated in 3.0.4)

DEPRECATED

  • DVT-3498 Deprecated internal builder preference "Restrict '*.v' and '*.vh' files to Verilog-2001 syntax" (use Language Syntax directives instead). See All Build Directives.

  • DVT-3669 Deprecated .dvt/.edt_top_files. .dvt/.edt_defines, .dvt/.edt_ignore_files, .dvt/.edt_test_files, .dvt/.edt_specman_path. See Build Configurations.

  • DVT-3883 Deprecated internal builder preference pages. See Build Configurations.

  • DVT-3885 Deprecated disable_ext+all (use +dvt_ext_unmap_all instead). See All Build Directives.

  • DVT-3997 Pop-up deprecation warning when using old .dvt/.*_top_files configuration files with the ability to dismiss per session. See Build Configurations.

CLEANUP

  • DVT-3085 Autocomplete in *.build and command (*.f) files in general

  • DVT-3891 Mixed Language Support: Modify "add to top files" to "add to *.build"

  • DVT-3937 Move language specific workspace preferences under the DVT section

  • DVT-3938 Move language specific project properties under the DVT section

  • DVT-4053 Rename .dvt/.dvt_builders file to external_builders.xml. See External Builders.

  • DVT-4054 Rename .dvt/log_styles file to log_styles.xml. See Smart Log

Features

  • DVT-130 Mixed Language Support: Unify the Instance Tree View.

  • DVT-1088 Support for multiple build configurations. See Build Configurations.

  • DVT-3349 Refactor connect ports for VHDL

  • DVT-3577 Mixed Language Support: Module diagrams for mixed Verilog and VHDL projects. See Design Diagrams.

  • DVT-2846 Mixed Language Support: Unify .*_top_files under default.build. See Build Configurations.

  • DVT-3890 Mixed Language Support: New editor for *.build files. See Build Configurations.

  • DVT-3893 Mixed Language Support: Unify the wizards for creating projects and files

  • DVT-3907 Mixed Language Support: Unify the external builders. See External Builders.

Enhancements

  • DVT-68 Support for compilation root specification in default.build. See All Build Directives.

  • DVT-554 Dropdown for selecting a specific external builder. See External Builders].

  • DVT-2608 Cross language check when reporting undeclared components/modules

  • DVT-3089 Propagate system variables to external tools integration. See External Tools Integration.

  • DVT-3252 Improved file navigation for the files exceeding 10K lines

  • DVT-3359 Trigger diagrams from the Types View, Instance Tree View and Editor context menu. See Design Diagrams.

  • DVT-3491 Issue warning when dvt_cli doesn't get both arguments for -map. See Command Line Interface.

  • DVT-3578 Add support for +dvt_skip_compile+ directive in default.build. See Build Configurations.

  • DVT-3751 Add a -perspective switch to the dvt_cli.sh createProject command. See Command Line Interface.

  • DVT-3790 Include diagrams in HTML Documentation. See Export HTML Documentation.

  • DVT-3796 Add external tools variable containing the path to current build file. See External Tools Integration.

  • DVT-3810 Added vcs.vhdlan Compatibility Mode in build configurations. See See Build Configurations.

  • DVT-3824 Support for case-insensitive directives in ius compatibility modes. See All Build Directives.

  • DVT-3857 Tooltip colors (mouse hover, folding, errors) can be configured from Preferences -> General -> Appearance -> Colors and Fonts

  • DVT-3886 Mixed Language Support: Unify "Nothing to compile" dialog with the ability to dismiss per session

  • DVT-3892 Update predefined projects. See Predefined Projects

  • DVT-3908 dvt_plugin_installer.sh for customizing the Eclipse installation works with any update site

  • DVT-3923 Signal error when multiple -work flags are provided for the same invocation, and only consider the first one. See Build Configurations.

  • DVT-3926 Alias +dvt_setenv+ to +dvt_env+. See Build Configurations.

  • DVT-3927 Warn when encountering unknown +dvt_ directives in default.build. See Build Configurations.

  • DVT-3948 Prompt for project selection when rebuild/clean is triggered if no project is selected

  • DVT-4003 Build configuration directives for controlling build log: +dvt_build_log_to_console, +dvt_build_log_to_file, +dvt_build_log_file

  • DVT-4006 Add support for +dvt_incremental_compile_max_lines+ directive in default.build. See All Build Directives.

  • DVT-4007 Add support for +dvt_auto_link+ directive in default.build. See All Build Directives.

  • DVT-4012 Predefined Projects wizard - add progress monitor while copying a project

  • DVT-4018 Mixed Language Support: Add Code Templates View to the DVT unified perspective. See Code Templates View.

Bugfixes

  • DVT-2296 Content assist for protected types

  • DVT-2574 Matching generate - end for "for ... generate " does not work

  • DVT-3042 Syntax Page - Restore defaults does not work

  • DVT-3706 Don't allow creating a no-nature project with dvt_cli.sh. See Command Line Interface.

  • DVT-3778 dvt_cli.sh should detect locked workspaces and die quickly and gracefully. See Command Line Interface

3.1.16 (5 October 2012)

Bugfixes

  • DVT-4026 Optimize workingset creation via dvt_cli.sh createProject / importProject

  • DVT-4031 DVT Auto-linked update on rebuild doesn't work when linked resources are changed

3.1.15 (3 October 2012)

Enhancements

  • DVT-4022 Support escaped quotes in build configuration files

Bugfixes

  • DVT-3989 "reject" should not be treated as a keyword in VHDL-1987

3.1.14 (27 September 2012)

Bugfixes

  • DVT-3977 Limit console log filters to match max. 8 consecutive lines and 256 characters per line for each error/warning message (customizable) (more details here)

  • DVT-3992 Platform freeze sometime after pressing text formatting button

  • DVT-4000 'Insert spaces for tabs' option no longer working correctly

3.1.13 (18 September 2012)

Enhancements

Bugfixes

  • DVT-3965 One key indentation not working when "Insert spaces for tabs" preference is selected

  • DVT-3971 Outline view selected element is not revealed (scroll view) when linked with editor

Bugfixes

  • DVT-3874 Hyperlink using F3 not working from VHDL to Verilog file in mixed projects

3.1.12 (13 September 2012)

Enhancements

  • DVT-3084 An easier way to copy the full path to the file in the editor (more details here)

Bugfixes

  • DVT-3957 Updated Clearcase plugin to latest to fix a compare from history bug

  • DVT-3956 When opening an auto-linked file from a run configuration console link it is opened as outside project

3.1.11 (7 September 2012)

Enhancements

  • DVT-3701 Directives for controlling file extension to Language Syntax mapping

  • DVT-3933 Keyword set selection for VHDL compilation (87, 93, 2000, AMS 1999)

Bugfixes

  • DVT-3915 Internal builder logs - missing highlighting & hyperlinks

  • DVT-3928 When opening an auto-linked file through dvt_cli.sh it is compiled out of any context

  • DVT-3932 Updated Clearcase Plugin to fix diff view problem

3.1.10 (1 September 2012)

Enhancements

  • DVT-3875 Updated Terminal plugin and added terminal colors in DVT themes

  • DVT-3887 Added launch configuration example for Questa on Windows (in uvm-1.1_ubus predefined project)

Bugfixes

  • DVT-2668 Autoindent issue when inserting tab

  • DVT-3137 Added exit to launch configurations interactive shell example to prevent throwing DVT in background

  • DVT-3807 Logger exceptions occur when restoring project rght after platform startup

  • DVT-3872 Unexpected char errors due to non-ASCII chars in build files

3.1.9 (13 August 2012)

Removed

  • DVT-2957 Removed SOLARIS release

Features

  • DVT-3090 Added Terminal plugin to Linux and MacOS distros

  • DVT-3441 Updated statically compiled dot and used by default in Linux distos

Bugfixes

  • DVT-3848 Errors/warnings on file specified through multiple symlinks are reported as out of the project

  • DVT-3849 File specified through multiple symlinks is incrementaly recompiled as out of the project after platform restart

  • DVT-3856 Restore defaults on Internal builder page not consistent with the defaults at project creation time

3.1.8 (7 August 2012)

Enhancements

  • DVT-2898 Updated ViPlugin build

  • DVT-3841 Updated all plugins in distribution

Bugfixes

  • DVT-3831 Hyperlink from default.build opens files specified with paths going through symlinks as gray files (outside project)

  • DVT-3830 File specified through multiple symlinks is incrementaly recompiled as out of the project

  • DVT-3842 Fixed argument passing in deprecated scripts

3.1.7 (2 August 2012)

Bugfixes

  • DVT-3764 Obsolete syntax errors might re-appear on reapply waivers

  • DVT-3802 VHDL Syntax Problems are reported twice in the DVT Build Console

  • DVT-3805 Exception when selecting a closed project in the DVT perspective while Types View is open

  • DVT-3806 Exception when selecting the Types View in the DVT perspective before restore is done

  • DVT-3807 Logger exceptions occur when restoring a project right after platform startup

  • DVT-3821 Move Resource Filters UI to DVT Section in project properties

3.1.6 (24 July 2012)

Bugfixes

  • DVT-3768 Resource Filters - recursive symlinks not visible in UI

3.1.5 (11 July 2012)

Enhancements

  • DVT-3747 Add support for custom location on toolbar for Run Configuration buttons

3.1.4 (10 July 2012)

Enhancements

  • DVT-3660 Undeclared component semantic problem default severity changed to warning (consistent with Verilog undeclared module)

  • DVT-3490 Ability to avoid full build when importing a project, by restoring from a saved snapshot

  • DVT-3725 Ability to specify custom per-project location for the DVT database with +dvt_db_location flag in default.build

Bugfixes

  • DVT-3716 No incremental compilation on non-default extensions even if file associations and content types are properly set

  • DVT-3731 Waivers are not applied at project restore

3.1.3 (2 July 2012)

Enhancements

  • DVT-3574 Show only files with errors in Compile Order View

  • DVT-3588 Added progress dialog for Directory Files Listing widget (Custom Dialogs)

  • DVT-3634 Added server name and license count on DVT License Info Page

Bugfixes

  • DVT-2296 Content assist proposals for protected types

  • DVT-2574 Matching generate - end for "for ... generate " does not work

  • DVT-2668 Autoindent issue when inserting a tab

  • DVT-3609 Resource Filters Dialog - ignore a directory linked to one of its parents

  • DVT-3616 Internal error when using +dvt_env+<var> without assigning a value

  • DVT-3630 Should only invoke internal builder when asking for project rebuild

  • DVT-3676 Path wildcard not taken into account when using only '?'

  • DVT-3692 Resource filters & CLI: -excluded folder doesn't show up in project, but it shows as unexcluded in resource filters

  • DVT-3702 dvt_cli.sh documentation errata: excludes managed by DVT's Resource Filters UI are only those specified by projectRelativePath

3.1.2 (15 June 2012)

Enhancements

  • DVT-2907 Document Compile Waivers

  • DVT-3543 Warn deprecated directive usage in build config files

  • DVT-3554 DVT sanity check - check for available space in .eclipse location instead of "home"

  • DVT-3580 Select top architecture in instance tree takes too much time when thousands of elements

  • DVT-3583 Instance Tree View - ability to dump to file the list of autodetected top architectures

Bugfixes

  • DVT-3571 Under FC15 OS the -s option of dvt_cli.sh does not suppress all messages

  • DVT-3575 dvt_cli.sh script documentation does not work

  • DVT-3582 Dynamic shortcuts - icon path support for environment variables

  • DVT-3585 Dynamic shortcuts - rename Accelerator > Shortcut

3.1.1 (6 June 2012)

Enhancements

  • DVT-2550 DVT CLI - ability to specify project-relative paths with -map argument

  • DVT-3489 dvt_cli.sh create*Project commands should pick up existing build config files if no build config flags are specified (e.g. -f, -top, -test)

  • DVT-3540 Automatically refresh .dvt folder at full build

  • DVT-3546 dvt_cli.sh should automatically infer hostname of the running DVT instance

  • DVT-3553 Updated predefined projects build command

Bugfixes

  • DVT-3534 Instance tree is not populated when an instance of an unknown entity or component is found

  • DVT-3547 dvt_cli.sh excludes are not taken into account at the initial refresh

  • DVT-3550 Switching from .*_top_files to default.build and back does not work (nothing is compiled)

3.1 (1 June 2012)

Features

  • DVT-2363 Code Templates View

  • DVT-2450 Create new toolbar button & keyboard shortcut from run configuration (more details here)

  • DVT-3361 Resource filters wizard (more details here)

  • DVT-3362 Create linked resources wizard

  • DVT-3436 Added dvt_updater.sh script for install/uninstall/update operations

Enhancements

  • DVT-606 Configurable source formatter

  • DVT-1884 Dedicated predefined external builders for OVM/UVM/VMM

  • DVT-2965 Project config files - multiple selection in dialog with proposals for wildcards matching multiple paths

  • DVT-2980 Integration with Altera Quartus II

  • DVT-3022 Automatic instantiation with configurable port order

  • DVT-3041 Refactoring Rename- user must be forced to review the changes when potential matches

  • DVT-3165 Add support for +dvt_skip_ext+.ext

  • DVT-3221 Logging and debug functionality for Linux/Unix

  • DVT-3254 Set "Always launch the previously launched application" as default in distro

  • DVT-3259 Suppress dvt.bat terminal in Windows

  • DVT-3280 Autoinstance preserving ports order and comments fails for multiple single line comments

  • DVT-3292 Formatting preference for line wrapping parameters : "Leave as is"

  • DVT-3313 Ability to use "Open with" dvt.bat in Windows

  • DVT-3340 Semantic checking of process label names

  • DVT-3341 Select the entire block when double-click on keyword 'begin'

  • DVT-3422 Formatting should be undone in case code was deleted or added during the process

  • DVT-3443 Added build console preference in Preference -> General -> Appearance -> Colors and Fonts -> DVT -> Console

  • DVT-3451 Some errors might not be reported in default.build when multiple project natures are activated

  • DVT-3476 Sync DVT CLI usage in script / application / user guide

  • DVT-3469 Vertical alignment using comma as a token

Bugfixes

  • DVT-2571 No folding on entity keyword and no matching entity keyword with its end

  • DVT-3062 Waive should create a single logical AND match clause using path and message

  • DVT-3284 The entity "nbsp" was referenced, but not declared - sn 11 / sn_eref.xml

  • DVT-3289 Formatting issue for attributes of procedures and functions

  • DVT-3318 Formatting issue for parameters with vertical alignment

  • DVT-3339 Formatting error with case-when statements

  • DVT-3341 Select the entire block when double-click on keyword 'begin'

  • DVT-3342 Wrong indention when you change the last line in process/procedure

  • DVT-3374 DVT Auto-linked update on rebuild doesn't work when linked resources are changed

  • DVT-3399 Match begin - end does not work for "entity ... end entity"

  • DVT-3467 Formatting preference for vertical alignment tokens is greyed out

  • DVT-3499 Formatting region should keep starting line indent as reference

  • DVT-3509 "Stop Current Rebuild Job" doesn't work when "Build Automatically" is enabled

  • DVT-3749 Unexpected switches passed to dvt_cli.sh are treated as arguments of the last known switch

3.0.10 (23 May 2012)

Bugfixes

  • DVT-3322 Fixed "See all available licenses" bug when license source contains multiple servers

  • DVT-3459 Fixed a race condition in the license client

3.0.8 (9 May 2012)

Enhancements

  • DVT-2739 Enable internal builder console should be default on

  • DVT-3214 Disable incremental compilation of big files should be default on

  • DVT-3240 Memory cleanup issues on successive project rebuilds

  • DVT-3392 Refresh "dvt_build.log" file when build is done

Bugfixes

  • DVT-3249 External Builder error decorations are not updated on incremental build

  • DVT-3365 "What's New" and "Report an Issue" buttons are visible in other perspectives

  • DVT-3366 Project Templates uppercase - lowercase conversion bug

3.0.7.9 (29 April 2012)

Enhancements

Bugfixes

  • DVT-3315 When changing the top files sometime the auto-linked folder is not properly updated on the first build

3.0.7.8 (24 April 2012)

Enhancements

  • DVT-3022 Automatic instantiation with configurable port order

  • DVT-3112 Ignore backslashes in command files

  • DVT-3121 Command files: support -flag "several arguments here treated as one" or -flag 'some args'

Bugfixes

  • DVT-3280 Autoinstance preserving ports order and comments fails for multiple single line comments

  • DVT-3289 Formatting issue for attributes of procedures and functions

  • DVT-3291 Non-ascii characters trigger errors in argument files (.*_top_files etc.)

  • DVT-3302 Add from Template replaces __FILE__, __LINE__ with FILE, LINE.

  • DVT-3305 Ctrl+H isn't updated with the text selection in the editor

  • DVT-3311 Syntax error markers are not displayed at full build after reaching the marker limits

3.0.7.7 (13 April 2012)

Enhancements

  • DVT-2586 Support for mixed languages in DVT_CLI

  • DVT-2986 Add -eclipsespace flag for dvt_cli.sh, alias -eclipse_area to -eclipsespace

  • DVT-3113 Add support for -F for dvt_cli.sh

  • DVT-3168 Add to default list of known extensions: .a, .o, .cc

  • DVT-3199 Reduce memory consumption after project close/delete

  • DVT-3200 Reduce time for error dispatching algorithm

  • DVT-3201 Added more debugging info in console

  • DVT-3212 Add -force flag for dvt_cli.sh importProject (replace existing project with same name)

  • DVT-3228 dvt_cli.sh - remove constraint that the project directory should exist apriori; create if it does not exist

Bugfixes

  • DVT-2851 Toggle mark occurences triggers exception when the active editor is not a DVT editor

  • DVT-2995 Ctrl+H doesn't remember option selection

  • DVT-3213 Function/procedure hyperlink and hover not working

3.0.7.6 (30 March 2012)

Bugfixes

  • DVT-2015 Functions with the same name but different signature are not shown in content assist

  • DVT-2814 PDF doc links from training labs are broken

  • DVT-3013 Multiple selection dialog on -F hyperlink in top_files although only one file specified

  • DVT-3076 __FILE__ and __LINE__ get in the way of project templates

  • DVT-3110 Console log errors are not properly hyperlinked

  • DVT-3119 Total number of errors in console varies after successive builds

  • DVT-3133 When creating a linked resource to a folder, the compiled sources contained in that folder should dissapear from auto-linked on next build

  • DVT-3134 Removed default activation of ClearCase action set menu (use -Dro.amiq.dvt.showClearcaseActionSet=true)

  • DVT-3142 Sometimes semantic errors might be reported before syntax errors

  • DVT-3171 Copy full path on linked resource/virtual folder does not work

3.0.7.5 (16 March 2012)

Enhancements

  • DVT-2896 Added Cliosoft SOS plugin to distros

  • DVT-2992 DVT Project selector - shortcut (CTRL+SHIFT+Z) & simple list view of open DVT Nature projects

  • DVT-3073 Added JDT (Java Development Tools) plugin to distros

Bugfixes

  • DVT-3067 When adding new function arguments or entity ports the autocomplete shows them in the wrong order after incremental build

  • DVT-3071 Fixed error when generating diagrams in a path with spaces

3.0.7.4 (8 March 2012)

Enhancements

  • DVT-2997 Added Ctrl+Shift+A as duplicated key binding for "Toggle Block Selection Mode"

  • DVT-3049 Added "See available licenses" button in license page

Bugfixes

  • DVT-3045 Trimming white space characters from license variables

  • DVT-3050 Fixed file license multiple initialization problem

3.0.7.3 (5 March 2012)

Enhancements

  • DVT-2958 Added open dvt_build.log file build console button

  • DVT-2959 Added cancel current rebuild job build console button

Bugfixes

  • DVT-3029 Removed UseCompressedOops from 64 bits linux distros since it can cause segmentaion fault

  • DVT-3030 dvt.sh on MacOS doesn't invoke the right binary

  • DVT-3036 What's new in DVT for VHDL missing

3.0.7.2 (1 March 2012)

Enhancements

  • DVT-2990 Add to "Compare with" menu the generic resource compare

Bugfixes

  • DVT-3028 Fixed local license problem affecting a small set of features

3.0.7.1 (29 February 2012)

Enhancements

  • DVT-2333 Added Glance plugin to distribution (incremental search in any view)

  • DVT-2807 Search does not locate matches in unsaved files (when no incremental is done)

  • DVT-2981 Option to select where to save the build log file

  • DVT-2996 Ability to keyboard-collapse/expand the nodes in (quick) tree views using left/right arrows

Bugfixes

  • DVT-2969 Splash and license settings validation sometimes start without using DVT functionality

  • DVT-3011 Wildcards in top files don't work under Windows

  • DVT-3014 Run configuration filters - ${file} var doesn't recognize Windows paths

  • DVT-3026 Updated ClearCase plugin to fix the NullPointerException

3.0.7 (13 February 2012)

Features

  • DVT-48 Hyperlinks in argument files (.vhdl_top_files, -f, etc.)

  • DVT-533 Setting system variables in DVT for full build

  • DVT-2312 Go to Next/Prev Problem

  • DVT-2903 Ability to specify project configuration for all languages in a single file

  • DVT-2906 Added Show Changed Preferences Dialog in Window menu

Enhancements

  • DVT-2419 Ability to use system variables in waivers

  • DVT-2453 Option to disable incremental build for large files

  • DVT-2487 Sort problems in problems view by the creation time/reporting order

  • DVT-2514 Support for multiple DVT_PREDEFINED_PROJECTS system variables

  • DVT-2540 Added Javascript search in generated HTML documentation

  • DVT-2623 Show if a project has multiple natures & their names as a label decorator in navigator

  • DVT-2726 Highlight only the word when jumping to declaration

  • DVT-2750 Problems view - ability to right click and "Waive problems like this"

  • DVT-2753 Vertical alignment option should only align equivalent characters

  • DVT-2784 Autocomplete should only match from the beginning of word or right after an underscore

  • DVT-2828 Make automatic component binding if there is an entity with the same name as the component

  • DVT-2848 Allow waivers with no name

  • DVT-2856 Option to copy full path in Navigator

  • DVT-2868 Rebuild triggers should be ignored if already building the project

  • DVT-2870 Quick Types view cluttered when many libraries - hard to locate relevant data type when filtering

  • DVT-2889 Jump to assignment instead of declaration

  • DVT-2921 Unexpected token errors when using 'procedural' as identifier

  • DVT-2924 Reapply waivers after Create Problem From waiver

  • DVT-2930 Dump build console output on disk

  • DVT-2925 Refine the problem waiving message reported in the Internal Builder console (explicitly specify waiving)

  • DVT-2938 & DVT-2934 Select top architecture - if more than 10 architectures open a filtered selection list

  • DVT-2939 Fail to create auto-linked files for network mapped files in Windows

  • DVT-2944 Limit max number of problem markers per problem category and severity to 1000

  • DVT-2948 Report only first 20 errors in DVT Build Console and the total number of errors

Bugfixes

  • DVT-2576 When creating a new file point out that the empty template can be customized just like any other

  • DVT-2718 New VHDL file is automatically populated with entity template if not saved before closing

  • DVT-2742 Instance tree misc bug fixes

  • DVT-2748 Formatting the source sometimes makes the cursor jump to another position

  • DVT-2869 NullPointerException when Instance Tree show top entities is pressed

  • DVT-2871 Instance tree history items should show "architecture OF entity" instead of "architecture" only (confusing, as all the architectures may have the same name)

  • DVT-2913 Fixed deadlock on workspace when a new project is created

  • DVT-2923 Updating markers for 25K files with problems hangs the UI

  • DVT-2926 Hyperlinks in project configuration files should open dialog with proposals for wildcards matching multiple paths

  • DVT-2927 On mixed Verilog/VHDL loading the VHDL identifier index fails

  • DVT-2949 New file wizard - new file's name changed to "new_file"

3.0.6.6 (23 January 2012)

Bugfixes

  • DVT-2877 Several exceptions thrown when closing a project

  • DVT-2881 Crash on Windows platform when outline view linked with editor is active

3.0.6.5 (17 January 2012)

Enhancements

  • DVT-2854 Ignore known extensions of other languages in top files file when +disable_ext is on; +disable_ext+all disables all extension checking

  • DVT-2865 Enhance problem management performance on full build

Bugfixes

  • DVT-2801 F3 jumps to component instead of entity declaration on instantiated components

3.0.6.4 (10 January 2012)

Enhancements

  • DVT-2606 Multiple hyperlink on component/instance (jump to entity declaration)

  • DVT-2828 Automatic component binding if there is an entity with the same name as the component

Bugfixes

  • DVT-2844 Too many open files exception when loading 1000s of empty files

3.0.6.3 (27 December 2011)

Enhancements

  • DVT-2757 Added support for -file in .vhdl_top_files

Bugfixes

  • DVT-2776 Autolinked resources are no longer removed if autolink flag is unset

  • DVT-2794 Deadlock when refreshing during a refactoring operation

  • DVT-2798 NullPointerException when warnings reported outside project

3.0.6.2 ()

Enhancements

  • DVT-2606 Multiple hyperlink on component - jump to entity declaration

  • DVT-2778 Added a black DVT Theme

  • DVT-2793 DVT_PREDEFINED_PROJECTS_TARGET environment variable for predefined projects

Bugfixes

  • DVT-2756 False undeclared component error

  • DVT-2777 Fixed DVT Theme selection dialog filter

3.0.6.1 (12 December 2011)

Enhancements

  • DVT-2688 Move reference search to the new indexer mechanism

  • DVT-2727 Search for references takes too much time on big environments (e.g. 20s for 5k files)

  • DVT-2740 Prepacked distro performance increased due to "-Xverify:none" vmarg

  • DVT-2741 "Show heap status" activated by default in prepacked distros

Bugfixes

  • DVT-2752 Wrong error reported when using 'break' as identifier

  • DVT-2762 External builder exception - Variable references empty selection

  • DVT-2765 False undeclared component error (case sensitivity issue for components)

  • DVT-2766 Instance tree doesn't work when block configuration is declared under a component configuration

3.0.6 (1 December 2011)

Features

  • DVT-642 add .vhdl_top_files support for multiple "instructions" on a single line like +vhdl_libmap+libname +disable_ext file.vhd

  • DVT-1179 Support for wildcards like *.vhd, *.vhdl etc. in top files

  • DVT-2455 CamelCase-like autocomplete

Enhancements

  • DVT-1350 Do not allow tabs in the editor (copy/paste, using templates etc.)

  • DVT-2179 Perform out of sync check before search and ask for refresh

  • DVT-2330 Hyperlinks for progress log in Internal Builder Console

  • DVT-2338 A drop-down list to select from top architectures in the Instance Tree View

  • DVT-2412 Full build console - show start/end of the compiled file

  • DVT-2449 New custom dialog - drop-down with files from a directory

  • DVT-2496 Updating error/compiled/ignore properties on resources takes a long time

  • DVT-2500 Extended help for external builder logs (for IUS filters)

  • DVT-2532 Overwrite MALLOC_CHECK_=1 env variable to avoid crashes

  • DVT-2565 Optimize algorithm for solving workspace resources

  • DVT-2617 Support custom eclipse.ini in dvt_cli.sh

  • DVT-2644 Edit DVT Waivers button in Problems View (easy way to start a waivers file)

  • DVT-2640 Show blocks in instance tree

Bugfixes

  • DVT-2307 Console hyperlinks get opened with wrong editor

  • DVT-2404 Removed the project context menu -> Source -> Format option that breaks .project

  • DVT-2436 Html Documentation wizard shows predefined libraries : ieee, std, __vhdl_std

  • DVT-2481 Multiline templates are not indented

  • DVT-2483 Hyperlink doesn't work on ports in architecture

  • DVT-2361 VHDL semantic checking is not enabled until an incremental build is triggered

  • DVT-2502 Tool bar section title for "What's new" & "Report an issue" buttons

  • DVT-2361 VHDL semantic checking is not enabled until an incremental build is triggered

  • DVT-2537 Exception thrown when canceling a DVT Custom Dialog started by an external builder

  • DVT-2563 Report reapply waivers time in DVT Build Console

  • DVT-2620 Rename 'include/import tree' & 'load order' to 'compile order'

  • DVT-2604 Hierarchy is not shown when components are defined inside packages

  • DVT-2635 Search by type (Ctrl+H) for components shows entities

  • DVT-2684 Changing the location of a file outside project requires 2 builds to correctly auto-link the file

  • DVT-2700 Missing scroll bar on predefined projects list

3.0.5.5 (21 November 2011)

Bugfixes

  • DVT-2591 Obsolete DVT Auto-Linked resources are not cleaned up

  • DVT-2593 Files with unknown extensions always ignored in .vhdl_top_files

  • DVT-2595 Wrong error reported on alias declaration

  • DVT-2596 Wrong unexpected token 'limit' when using it as identifier

  • DVT-2597 Wrong error reported on bitwise operations

  • DVT-2627 Wrong UNDECLARED_COMPONENT error when the component's name contains full path: 'library_name.package_name.component_name'

3.0.5.4 (8 November 2011)

Enhancements

  • DVT-2215 Option for dvt_cli.sh to import workspace settings

  • DVT-2372 Option for dvt_cli.sh not to exit when starting dvt.sh

  • DVT-2573 Ability to specify how long DVT CLI should wait for DVT to start (-timeout flag)

Bugfixes

  • DVT-2361 VHDL semantic checking is not enabled until an incremental build is triggered

  • DVT-2431 VHDL References show multiple potential matches

  • DVT-2483 Hyperlink doesn't work on ports in architecture

  • DVT-2572 DVT Build timeout preference cannot be set by dvt.ini

3.0.5.3 (17 October 2011)

Enhancements

  • DVT-2454 Document how to expand aliases in run configurations and change default run command to interactive mode

  • DVT-2458 Save all dirty editors before launching a run configuration

Bugfixes

  • DVT-2460 Could not infer project from selection when there is selection but no focus

  • DVT-2495 Replace all in a large file takes a very long time

  • DVT-2499 NullPointerException in DVTMarkerFactory

3.0.5.2 (23 September 2011)

Enhancements

  • DVT-2290 Rename "Generic" run configuration to "DVT Generic"

Bugfixes

  • DVT-2425 NullPointerException when warnings reported in files outside project

  • DVT-2435 VHDL creating file from template (entity - architecture) generates errors

3.0.5.1 (16 September 2011)

Bugfixes

  • DVT-2397 VHDL Syntax Coloring : changing Strings color triggers Exception

3.0.5 (10 September 2011)

Features

  • DVT-1852 Rebuild button for internal builder only

  • DVT-1979 DVT color theme support for editors and GTK widgets and a predefined "Dark" theme

  • DVT-2252 Add semantic error for instantiation of undeclared components

  • DVT-2253 Add semantic error for declaration of architectures and configurations of unknown entities

Enhancements

  • DVT-1182 System variables for External Builders

  • DVT-2177 Hyperlink for jumping to the "associated type" of an element

  • DVT-2242 Support for use clause with list of clauses

  • DVT-2248 Support for instance of component with composed named such as library.component

  • DVT-2279 Parser support for protected types

  • DVT-2309 Enhance "Nothing to build" warning message (when rebuilding a project with no sources specified)

  • DVT-2314 Support for shortcuts for each rebuild kind (all/internal/external)

  • DVT-2336 Option to automatically save editors on rebuild

Bugfixes

  • DVT-2308 Add from template does not work in Windows

  • DVT-2310 Build Console does not close when closing a Project

  • DVT-2341 Add to top files - relative path problem in Windows ("\" -> "/")

  • DVT-2349 VHDL syntax error is signaled in comment by unrecognized unicode characters

3.0.4.4 (9 September 2011)

Bugfixes

  • DVT-2349 VHDL syntax error is signaled in comment by unrecognized unicode characters

  • DVT-2378 Occasional freezes on incremental build due to a deadlock in plugin startup

3.0.4.3 (29 August 2011)

Bugfixes

  • DVT-2346 VHDL 'reference' keyword generates syntax error when used as port name

  • DVT-2347 VHDL -f option should not compile files with extensions other than '.vhd' and '.vhdl'

  • DVT-2348 VHDL top files / f files does not ignore directives it does not recognize

3.0.4.1 (3 August 2011)

Bugfixes

  • DVT-2244 References/rename not working on symbolic link files that are linked resources in Eclipse

  • DVT-2254 Search by type not working

  • DVT-2256 Fixed problems related to readlink usage in Solaris scripts

  • DVT-2257 Changed "Xmx" memory settings to 1024m for 32bit and to 2048m for 64bit distros

3.0.4 (30 July 2011)

Deprecated

  • DVT-2239 Scripts: eclipse.sh, run_gui_mode.sh, run_batch_mode.sh are marked for deprecation; use instead: dvt.sh, dvt_sv_lint_batch.sh, dvt_sv_lint_gui.sh

Features

  • DVT-1134 Option to periodically save dirty editors

Enhancements

  • DVT-81 Refactoring potential matches - quick filter/(un)check all

  • DVT-1594 Add flag "Show builder progress log in console" in VHDL

  • DVT-1945 New file wizard - create from template option

  • DVT-1970 incremental build should not remove and re-create all named elements

  • DVT-2048 Run Configurations dialog/Quick Run working set filtering options

  • DVT-2104 Use clause should be restricted to the scope where it is placed or the design unit after it

  • DVT-2105 Enable tool-tips when 'ALT' key is pressed

  • DVT-2112 Add enumerated types to autocomplete, hyperlinks and references

  • DVT-2148 f-files support for VHDL

  • DVT-2150 VHDL templates preview - syntax highlight added

  • DVT-2152 Quick Filter code templates by name in preference pages

  • DVT-2153 Add support for record types

  • DVT-2158 Add content assist support for record types

  • DVT-2159 Show workspace location in title bar

  • DVT-2172 Add loop index (for loop statements and generates) to autocomplete, hover, hyperlink, references

  • DVT-2176 Add sequential statement labels (for, case, if, while instructions) to hover, hyperlinks, references

  • DVT-2178 When rename refactoring has potential matches - warn in the first page of the wizard

  • DVT-2201 Alt+shift+arrow selects word at cursor

  • DVT-2231 Add HINT predefined task tag

  • DVT-2235 Show builder errors in console with hyperlink to source file

  • DVT-2220 Content assist support for assertion statement with severity clause

  • DVT-2236 Content assist, hover and references support for predefined attributes

  • DVT-2237 Content assist, hover and references support for accessing entities from within user-defined libraries

Bugfixes

  • DVT-2096 Content assist support after dot

  • DVT-2097 Instances on Content assist offer all visible ports not just local ones

  • DVT-2110 Adding multiple files to ignore list only adds the first file in the list

  • DVT-2116 Attribute double click does not mark all occurrences

  • DVT-2162 Help > DVT Quick Help broken links fixed

  • DVT-2182 Register architectures and configurations when entity name is a selected name

  • DVT-2204 VHDL Quick Import View filtering does not jump to first match

  • DVT-2209 Custom Dialog File/Directory choosers fail to open if no "question" is specified

  • DVT-2221 Wrong hover for keywords "use", "report", "severity"

3.0.3.3 (22 July 2011)

Enhancements

  • DVT-2081 dvt_cli.sh should also look for /bin/nc and /usr/bin/nc by default

  • DVT-2148 f-files support for VHDL

Bugfixes

  • DVT-2185 A tooltip with long non-whitespace sequences (1000s of characters) freezes the GUI

  • DVT-2194 Incremental and full build fails

3.0.3.2 (14 July 2011)

Bugfixes

  • DVT-2145 Avoid using resources in non-accessible state

  • DVT-2155 Changed default initialization of preferences to avoid startup crashes in high load CPU scenario

  • DVT-2156 Fixed FlexLM Exception "ArrayIndexOutOfBoundsException" when using invalid license sources

3.0.3.1 (8 July 2011)

Bugfixes

  • DVT-2084 Automatically infer DVT_LICENSE_VERSION when DVT_LICENSE_FILE=FLEXLM

  • DVT-2134 Fixed "Internal FlexLM Error" after waking up from idle

3.0.3 (1 July 2011)

Enhancements

  • DVT-1688 Switch for turning off tooltips

  • DVT-1978 Added predefined libraries (IEEE, STD, TEXTIO)

  • DVT-2051 Parse and auto-link files outside project directory

Bugfixes

  • DVT-2050 Types declared in entities are missing from Types view

  • DVT-2055 Error is signaled for "file..is in" or "file..is out"

  • DVT-2060 Folding does not work properly after attribute...of...function is..

  • DVT-2061 Folding does not work for record .. is .. end record;

  • DVT-2097 Instances on content assist contain all visible ports not just local ones

3.0.2 (17 June 2011)

Enhancements

  • DVT-212 Added Eclipse Marketplace Client to distribution

  • DVT-1657 Quick Types & Import Views - independent of editor

  • DVT-1907 VHDL parser performance improvements on expression evaluation

  • DVT-1919 Added Component auto instantiation

  • DVT-1933 Documentation - contexts.xml updated

  • DVT-1938 Added source formatting

  • DVT-1944 VHDL - What's new & report an issue

  • DVT-1952 Added hyperlink and tooltip for types

  • DVT-1959 External tools console links jump to resources in current working set

  • DVT-1960 Added folding for architecture, function, procedure, loop, component, generate, process

  • DVT-1962 Added folding for port map, generic map

  • DVT-1963 Added custom region folding using --{{{ }}}--

  • DVT-1967 Improvements on hyperlink, tooltip, outline for variables, files, constants

  • DVT-1995 +vhdl_libmap+ directive when multiple libraries are used

  • DVT-1998 Run Configurations should show quoted arguments when printing the executed command

  • DVT-2010 Predefined project wizard - option to override target directory

  • DVT-2016 Instance Tree - multiple enhancements

  • DVT-2020 Added Content Assist for default proposals and instances proposals

  • DVT-2021 Updated linux JRE in distribution to version 1.6.0u26 to avoid compiler crashes

  • DVT-2022 DVT Custom Dialogs - when checkboxOnValue or checkboxOffValue are specified, checkbox output should not contain the id

  • DVT-2023 Updated Clearcase plugin to the latest version in distribution

  • DVT-2024 Common VHDL constructs added as template proposals

  • DVT-2025 Context sensitive VHDL templates

Bugfixes

  • DVT-1953 Escaped identifiers \id\ not recognized by the VHDL parser

  • DVT-1969 Issue when searching by type

  • DVT-1975 Create new VHDL file wizard overwrites existing file with same name

  • DVT-1993 DVT CLI importProject takes project name from project folder instead of .project

  • DVT-1996 Quick outline view duplicates the components of a file added twice in top files

  • DVT-2005 Outline updated on full build

3.0.1.1 (3 June 2011)

Enhancements

  • DVT-1951 Added hyperlink and tooltip on architectures

  • DVT-1918 Added quick include tree view, include tree view

  • DVT-1934 Changed procedure icon to differ from function icon

Bugfixes

  • DVT-1874 Templates - Move these are global templates at the start of the dialog

  • DVT-1928 Wrong matching begin-end marks occurrences

  • DVT-1929 Missing grayed icon for files outside project scope

  • DVT-1935 Wrong linked VHDL file icon

  • DVT-1936 Fixed tooltip for vhdl generics

3.0.1 (27 May 2011)

Features

Enhancements

  • DVT-1581 Name convention checking for method arguments

Bugfixes

  • DVT-1893 Refactoring does not update outline elements in Outline View

  • DVT-1926 Duplicated entries in Outline/Types View after incremental build