DVT SystemVerilog IDE User Guide
Rev. 24.1.5, 13 March 2024

Chapter 22. Quick Search in Views

Most DVT views have a Quick Search bar:

The Quick Search bar allows you to easily locate a specific element by typing a few letters from its name.

Besides simple Regex ('?' for any character and '*' for any character sequence), more advanced patterns are supported, for example CamelCase or hierarchical patterns like top//fifo/c.pi_data.