DVT SystemVerilog IDE User Guide
Rev. 24.1.5, 13 March 2024

Chapter 44. What is New?

#.# - major version - Includes new features, major enhancements, architectural changes, bug fixes. 
Since 2015, a major version is named in sync with the release year, for example the first major version of 2015 is 15.1.
NOTE: When switching to a new major version it is recommended to start in a new workspace.

#.#.# - minor version - Includes bug fixes, minor enhancements.

24.1.5 (13 March 2024)

Performance

  • DVT-20203 Improve compile time for modules with many generate blocks

Enhancements

  • DVT-20184 Check unary operators "+" and "-" even when the width mismatch check is filtered

  • DVT-20237 Improved non-blocking incremental build after changing the definition of a macro

Bugfixes

  • DVT-20043 No ILLEGAL_CUNIT_REFERENCE errors triggered for global scope classes in non-top files

  • DVT-20101 Chromium Browser: In specific scenarios, when exiting Eclipse, the UI shuts down but the underlying process keeps running

  • DVT-20113 PVerilog: +dvt_pverilog_comment_map triggers console exceptions when a compiled file is empty or contains only comments

  • DVT-20117 False syntax errors reported at incremental build for a chain of included files without comma in module parameter list

  • DVT-20156 Code Formatting: Wrong indentation of comments preceding some closing keywords

  • DVT-20173 False VIRTUAL_CLASS_INSTANTIATION error for array variable whose type is an inherited class parameter with virtual class default value

  • DVT-20176 Extract to module refactoring: Wrong default target directory if project has custom name

  • DVT-20185 Code Formatting: "Add new line after end" does not work if the line that starts with "end" ends with a comment

  • DVT-20186 Code Formatting: Wrong indentation of labeled coverpoint bins

  • DVT-20201 Code Formatting: Do not indent the "@formatter:on" pragma

  • DVT-20202 Code Formatting: Vertical align pattern for `xvm_field macros does not work if the macro is followed by a semi-colon

  • DVT-20218 Do not trigger error for missing arguments of build config defined system functions

  • DVT-20230 DVT CLI: Lazy Bring up Resources doesn't work for projects with CPP nature

24.1.4 (28 February 2024)

Performance

  • DVT-20107 Speed-up full build in projects with many extern functions without an implementation

CLEANUP

  • DVT-20073 License: Replaced the license events database with a capped human readable log

Enhancements

  • DVT-19976 Support for predefined `include "disciplines.h"

  • DVT-19987 PVerilog: New "Getting Started" predefined project based on jinja2

  • DVT-20022 Add non-standard support for .* port connection used with empty port

Bugfixes

  • DVT-13947 Errors not cleared for typedef usages from function parameters and variables declared in global scope when changing its name

  • DVT-18176 Build auto-config: In specific scenarios file paths get truncated

  • DVT-19034 Design Breadcrumb: No navigation bar when using the 'Open Instance' action on a drop-down element in Diagram Editors

  • DVT-19905 Compile Waivers: Specifying compile waivers files from outside the project using relative paths breaks build configuration parsing

  • DVT-19952 Compile Waivers: No hyperlink for compile waivers files specified in the build configuration using relative paths

  • DVT-19961 False DUPLICATE_NAMED_BLOCK error for nested block inside anonymous sequential block

  • DVT-19975 Do not trigger ILLEGAL_ASSIGNMENT error for user-defined nettype assigned to a bit vector value in some cases

  • DVT-20001 Refactoring Connect: Signal declaration is incorrectly inserted when the enclosing module contains preprocessing directives

  • DVT-20011 The tooltip shown when hovering a class type alias incorrectly shows the class field as "values"

  • DVT-20025 Sometimes hovering build configuration directives results in empty tooltip

  • DVT-20046 & DVT-20152 PVerilog: False compilation errors are reported after deleting code containing a preprocessing section boundary

  • DVT-20072 Precompilation support: +dvt_prepend_init sections do not work properly if specified both in save and load configurations

  • DVT-20076 Encrypted code auto-API: Wrong ILLEGAL_ASSIGNMENT errors for default array iterator in predefined array methods

  • DVT-20081 Incorrect evaluation of type alias involving an access to an inner specialized class

  • DVT-20097 PVerilog: False compilation errors are reported when there is a single word preprocessing region on the last line of the file

  • DVT-20105 False UNDEFINED_OPERATOR errors for type aliases that resolve to multidimensional unpacked arrays with base types having sign specifiers

  • DVT-20106 Compile Waivers: Specifying compile waivers files using undefined environment variables breaks build configuration parsing

  • DVT-20127 PVerilog: Using Linux line separators in Windows breaks the preprocessed code section mapping

  • DVT-20129 Changes to class fields referenced in global scope methods are not handled incrementally

  • DVT-20130 DVT Debugger: Breakpoints cannot be set

  • DVT-20132 UVM Runtime Elaboration: Inferred constructor with additional arguments other than the ones from super constructor registers the component under uvm_root

  • DVT-20137 Show Usages: Calls to $value$plusargs are not marked as writers of variables bound to its output argument

  • DVT-20147 Code Formatting: Apply "Indent and increase indentation level - except first" preference to all first-level open preprocessing directives

  • DVT-20151 Code Formatting: Non-default vertical align tokens are aligned within macro definitions

24.1.3 (13 February 2024)

Performance

  • DVT-20067 Improved UI responsiveness in sessions running for a long time

Features

  • DVT-19886 Code Formatting: Add preference to skip indentation of multi-line macro definitions

Enhancements

  • DVT-14487 License: Show the pop-up notification until it's dismissed by the user or until a license is acquired

  • DVT-19032 License: Show client information (pid, user, host, hostid) in the log header

  • DVT-19042 Trigger error for default values of ref/output/inout method arguments similarly to errors triggered for actual values

  • DVT-19753 Automatically generate thread dumps when incremental build takes longer than expected

  • DVT-19817 Report an Issue: Ability to select/deselect all attachments

  • DVT-19904 Quartus auto-config: Add support for QSYS_FILE option

  • DVT-19937 Add non-standard support for assignment of empty_queue and unpacked assignment pattern to string type

  • DVT-19945 Code Formatting: Improve indentation for multi-line expressions

  • DVT-19963 Encrypted code auto-API: Inhibit the errors in accesses on auto defined elements

  • DVT-19981 Improve +dvt_init_from_simlog handling of quoted +define directives when working with xrun logs

  • DVT-20063 Trigger ILLEGAL_ASSIGNMENT error for incorrect expression connected to output and inout arguments

Bugfixes

  • DVT-18685 Code Formatting: Wrong indentation of comments preceding a closing keyword

  • DVT-18729 Build config: Environment variables are not replaced for arguments of +dvt_db_location

  • DVT-19820 Code Formatting: Vertical alignment should not be performed within macro definitions

  • DVT-19857 Build config: -makelib directives are not handled properly inside +dvt_init_from_simlog

  • DVT-19862 Build config: Substitutions specified using +dvt_simlog_replace are erroneously performed multiple times

  • DVT-19931 Precompilation support: Do not allow refactor operations in precompiled files

  • DVT-19993 Breadcrumb: "No elaborated design elements in file" wrongly shows up in specific scenarios

  • DVT-19997 Hyperlink: Open Aliased Type for type parameters should place the cursor on the type definition

  • DVT-20000 Extract to Module Refactoring: Wrong default target directory if used inside a linked resource

  • DVT-20007 Encrypted code auto-API: Infer field type from array assignment

  • DVT-20008 Encrypted code auto-API: Not working when auto defined field is assigned to itself

  • DVT-20009 Encrypted code auto-API: Not working inside classes used through aliases when the enclosing package also contains encrypted code

  • DVT-20014 Compile waivers: When located directly under the compilation root, waivers are not applied in batch mode

  • DVT-20033 dvt_plugin_installer.sh hangs when stdin is not a tty

  • DVT-20040 Precompilation support: Semantic errors in global scope classes are missing from the preocompiled database

  • DVT-20047 Regular expressions printed to the build console by +dvt_init_from_simlog should be quoted

  • DVT-20054 License: In specific scenarios, connectivity issues are not detected immediatly

  • DVT-20055 License: In specific scenarios, license server response delays can cause UI slowness

24.1.2 (1 February 2024)

Bugfixes

  • DVT-16884 False syntax errors reported at incremental build in files including fragments (ports, parameters)

  • DVT-19786 Fail to evaluate anonymous enum inside struct assignment

  • DVT-19818 False UNDECLARED_IDENTIFIER error for access on a variable whose type implies a parameter hierarchical access

  • DVT-19899 Encrypted code auto-close: Not working in specific scenarios involving included files

  • DVT-19944 PVerilog: Sometimes +dvt_pverilog_comment_map is broken when the comment referring the p file is followed by other comments

  • DVT-19958 Encrypted code auto-API: Not working when auto defined element is used in push_back list method

  • DVT-19974 No matches reported in symbolic link files when searching for macro usages

  • DVT-19998 False NON_EXISTING_TYPE error for access on type alias whose value implies a parameter hierarchical access

24.1.1 (18 January 2024)

Starting with the 24.1.1 major release our products are no longer compatible with old FlexLM license servers (<11.19).

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 23.#.# releases for early adopters.

REMOVED

  • DVT-19867 License: Removed support for FlexLM tools and dvtlmd daemon versions <11.19

  • DVT-19907 Remove support for +dvt_disable_expr_eval directive

DEPRECATED

  • DVT-19923 PVerilog: Deprecate multi-part editor

Enhancements

  • DVT-14587 Ability to disable automatic compilation of non-top-files

  • DVT-17984 Support for setting a package as elaboration top

  • DVT-19029 Shallow Compile: Add exclusion support to the +dvt_shallow_compile directive

  • DVT-19393 Bitfield Diagrams: Ability to generate a diagram from a type parameter which solves to a packed struct

  • DVT-19394 Ability to navigate directly to the declaration of the type to which a type parameter is solved

  • DVT-19452 PVerilog: Added support for comment mapping in the advanced functionality in preprocessing files mode

  • DVT-19553 PVerilog: Ability to use +dvt_pverilog_run_on_save+ directive in the advanced functionality in preprocessing files mode

  • DVT-19684 Disable incremental compilation timeouts when non-blocking incremental build is enabled

  • DVT-19753 Automatically generate thread dumps when incremental build takes longer than expected and non-blocking incremental build is enabled

  • DVT-19815 Print reports generation time to verissimo.sh and dvt_build.sh output

  • DVT-19888 PVerilog: Dedicated background highlight for generated code regions

  • DVT-19889 PVerilog: Ability to navigate from the preprocessing file to the generated file using the editor context menu

  • DVT-19890 PVerilog: Ability to see and navigate to the associated generated code for each embedded preprocessing section using the Inspect View

  • DVT-19891 PVerilog: Ability to inhibit editing in files containing generated code

  • DVT-19909 Non-blocking incremental build is enabled by default

  • DVT-19915 PVerilog: Enable by default the advanced functionality in preprocessing files mode

  • DVT-19925 Do not trigger width mismatch warning in unelaborated code

Bugfixes

  • DVT-19485 Precompilation Support: False "No source files were compiled" warning when no files are compiled in addition to the loaded database

  • DVT-19733 Design Breadcrumb: Sometimes navigation bar is not available in included fragment files

  • DVT-19825 Custom Dialog: Prevent infinite recursion when project contains circular symlinks

  • DVT-19833 Precompilation Support: Saving the database fails if any of its files is larger than 2GB

  • DVT-19836 Shallow Compilation: A file is entirely shallow compiled even if only function or module shallow compilation was requested

  • DVT-19856 In specific scenarios +dvt_ext_map does not work

  • DVT-19860 UVM Runtime Elaboration: Debugger hot swap doesn't work correctly in 'for' and 'foreach' blocks

  • DVT-19863 Sometimes refresh is not done properly following a run configuration launch

  • DVT-19910 Precompilation Support: Sometimes the md5 checksum is incorrectly computed when saving the database in GUI mode

  • DVT-19911 Precompilation Support: False errors triggered on parameter assignments

  • DVT-19912 Precompilation Support: TLM port connections to uvm_tlm_analysis_fifo are not displayed in UVM Component Diagrams

23.2.30 (21 December 2023)

Bugfixes

  • DVT-19853 DVT CLI: Creating a project in an extremely large file system may trigger a second full build in specific scenarios

23.2.29 (13 December 2023)

Performance

  • DVT-19811 Speed-up incremental build when modifying enums, structs or unions

Enhancements

  • DVT-4939 Align auto-indentation with the indentation performed by the code formatter

  • DVT-18887 Code Formatting: Ability to place each parameter on a new line only if the number of parameters exceeds a certain value

Bugfixes

  • DVT-18702 Build config: -L shared library search dir should only be interpreted in dvt.gcc and xcelium.xrun compatibility modes

  • DVT-19123 Outline View: No update when opening a file from the Search View

  • DVT-19423 Code Formatting: When more parameters per line is set, code gets merged with susequent single line comment in specific scenarios

  • DVT-19424 Code Formatting: When more parameters per line is set, maximum line width is exceeded in specific scenarios

  • DVT-19774 Compile Order View: Preserve build configuration order for argument files and +dvt_init invocations

  • DVT-19777 Incorrect default nettype for -y compiled module

  • DVT-19794 PVerilog: Mapped g files included inside a generated code section cause improper parsing

  • DVT-19800 PVerilog: Semantic Highlight and Breadcrumb Navigation Bars are not properly updated when using +dvt_pverilog_compile_preproc without any file mapping performed

  • DVT-19799 Chromium Browser: Sometimes a deadlock is preventing the JVM shutdown

  • DVT-19802 Code Formatting: Wrong vertical alignment for arguments of parameterized type in method declarations

  • DVT-19803 Full build might fail in specific scenarios due to NullPointerException thrown while loading a precompiled database

23.2.28 (28 November 2023)

Performance

  • DVT-19731 Optimized incremental build for projects that encapsulate the testbench in programs/modules

Features

Bugfixes

  • DVT-19638 Quartus auto-config: New project wizard starts in an error state when pointed to a Quartus project

  • DVT-19669 False UNDECLARED_IDENTIFIER error for generate block variable defined inside included file

  • DVT-19720 Support for parsing non printable whitespace character 0xA0

  • DVT-19749 Compile Waivers: "Create waiver" wrongly computes waiver file candidates when the project is not selected

  • DVT-19755 Quartus auto-config: qip files located outside project directory are not handled correctly

  • DVT-19756 False WIDTH_MISMATCH warning when operand is foreach loop variable of type parameter array

  • DVT-19759 The same function evaluation may have inconsistent results for instance parameters elaborated in parallel

  • DVT-19761 Quartus auto-config: Disable file sorting in the generated build configuration

23.2.27 (17 November 2023)

Bugfixes

  • DVT-19740 Compile Waivers: Can't create new waiver from UI when DVT_USER_SETTINGS and DVT_COMMON_SETTINGS are not set

23.2.26 (14 November 2023)

Performance

  • DVT-19549 & DVT-19543 Show Usages: improve performance when searching for design elements defined inside library scope

  • DVT-19681 +dvt_skip_compile does not work for -y libdirs in specific scenarios

  • DVT-19685 Improve performance of incremental compilation when changing files with many `include statements

Features

  • DVT-4730 New Compile Order view mode presenting the argument file inclusion tree and +dvt_init invocations

Enhancements

  • DVT-8420 Compile Waivers: Ability to select the waiver file when creating a new waiver from a problem

  • DVT-18305 & DVT-11641 Ability to specify compilation waiver files in the build configuration

  • DVT-19411 Check width mismatch for array reduction method call when with clause is specified

  • DVT-19433 PVerilog: Added support for extension mapping in the advanced functionality in preprocessing files mode

  • DVT-19467 PVerilog: Added support for pattern tag mapping in the advanced functionality in preprocessing files mode

  • DVT-19601 PVerilog: Report mappings in Build Console

  • DVT-19625 Quartus auto-config: Automatically locate the Quartus .qip files required for compilation of binary .ip files

  • DVT-19641 Quartus auto-config: Improve debugging capabilities

  • DVT-19713 Improve adaptive incremental elaboration when many designs are changed

Bugfixes

  • DVT-16548 Comments are not collected for covergroups with arguments

  • DVT-19293 Search for references: Potential matches in inactive code are not reported when file contains `include statements

  • DVT-19448 Encrypted code auto-API: Does not work in scopes which are auto-closed

  • DVT-19451 Encrypted code auto-API: Wrongly infers queue instead of associative array

  • DVT-19517 Editor Notification: Changing the active build configuration file wrongly triggers the database out-of-sync notification

  • DVT-19572 Design Breadcrumb: Wrong updates when generating top module diagram in specific scenarios

  • DVT-19608 PVerilog: Generated files outside the project should appear inside DVT Auto-Linked

  • DVT-19624 Quartus auto-config: Quartus Prime Pro 23.2 .qip format not recognized

  • DVT-19628 & DVT-19636 Chromium browser: In some configurations content is displayed in a new window instead of the application window

  • DVT-19639 Editor Notification: Changing the Verification Hierarchy Top wrongly triggers the database out-of-sync notification

  • DVT-19640 Editor Notification: Changing the Design Hierarchy Top wrongly triggers the database out-of-sync notification

  • DVT-19643 Encrypted code auto-API: False ILLEGAL_ASSIGNMENT error when auto defining function return type

  • DVT-19644 Encrypted code auto-API: False ILLEGAL_ASSIGNMENT when auto defining function parameters whose class types have a common base class

  • DVT-19645 Encrypted code auto-API: False ILLEGAL_ASSIGNMENT error when auto defining fields used in function calls

  • DVT-19646 Encrypted code auto-API: False ILLEGAL_NON_STATIC_ACCESS error when auto defining non static fields/methods used in static accesses

  • DVT-19647 Encrypted code auto-API: false UNDEFINED_OPERATOR error when auto defining queues instead of array

  • DVT-19682 False ILLEGAL_VARIABLE_ASSIGNMENTS error at incremental inside module compiled multiple times that contains anonymous always construct

  • DVT-19706 Chromium browser: Cannot open local files using the Eclipse Internal Browser View

23.2.25 (1 November 2023)

Performance

  • DVT-19219 Automatically disable word wrap in editors and Console View when content gets too large

Bugfixes

  • DVT-17562 Design Breadcrumb: Should be available while a build is in progress for another project

  • DVT-19209 UVM Runtime Elaboration: Add support for UVM-ML DPI-C functions

  • DVT-19283 DVT CLI: Improve performance for Lazy Bring up Resources with -include auto

  • DVT-19506 Filesystem Breadcrumb sometimes causes a StackOverflowError

  • DVT-19552 UVM Runtime Elaboration: DPI-C scope can't be set and queried in context of packages

  • DVT-19565 UVM Runtime Elaboration: Parameters of DPI-C functions with unspecified type are mapped incorrectly

  • DVT-19566 UVM Runtime Elaboration: Compilation error of DPI-C function in UVM from xrun installation

  • DVT-19573 UVM Runtime Elaboration: Context of parameters with static lifetime in DPI-C methods should be initialized first

  • DVT-19596 PVerilog: Sometimes multiple changes in preprocessing files can't be handled incrementally

  • DVT-19609 PVerilog: Trigger error when specifying arguments for +dvt_pverilog_compile_preproc+ directive

23.2.24 (17 October 2023)

Performance

  • DVT-19545 Speed-up incremental build in files containing many macro calls

Features

  • DVT-19595 New Preprocessed Files Support providing advanced functionalities in preprocessing files

Bugfixes

  • DVT-17598 False WIDTH_MISMATCH warning for $sampled and $past function call operands

  • DVT-19416 Code Formatting: Wrong indentation of label when associated "begin" is moved on the same line

  • DVT-19530 False syntax error for variable type port declaration with unpacked dimension and implicit data type

  • DVT-19540 Editor Notification: Full elaboration to fix database out-of-sync triggers a full build instead

  • DVT-19551 Missing STATIC_INITIALIZATION warning for function variable declared inside an included file in some cases

  • DVT-19577 False UNDECLARED_IDENTIFIER error for mixed-language hierarchical access of an instance due to VHDL configuration being ignored

  • DVT-19578 Editor Notification: Inaccurate message when too many files changed inhibit the incremental build

  • DVT-19582 Prevent memory leaks when closing diagrams

  • DVT-19587 Diagram timeout message might not be shown in certain conditions

  • DVT-19594 Editor Notification: Wrongly triggered when the project restore operation finishes successfully

23.2.23 (9 October 2023)

HIGHLIGHTS

  • Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19

  • New bundled Chromium browser engine used by default on all operating systems

Performance

  • DVT-19410 UVM Runtime Elaboration: Fixed memory leaks occurring in specific scenarios

CLEANUP

  • DVT-19560 License: Default license server requirements changed to FlexLM tools and dvtlmd daemon >=11.19

Features

  • DVT-605 New change method signature refactoring

  • DVT-19507 New bundled Chromium browser engine used by default on all operating systems

Enhancements

  • DVT-18888 & DVT-18683 Add non-standard support for non-constant concatenation multiplier in some contexts

  • DVT-19270 UVM Runtime Elaboration: Add standard implementation for DPI-C 'svBitVecVal' type

  • DVT-19282 Ability to show factory overrides from Verification Hierarchy View context menu

  • DVT-19398 UVM Runtime Elaboration: Add standard implementation for DPI-C 'svLogicVecVal'

  • DVT-19399 Build config: Add support for +dvt_directory_substitute

  • DVT-19504 UVM Runtime Elaboration: Display loaded libraries in console

  • DVT-19508 Build config: Add support for hash character escaping in macro definitions

  • DVT-19562 UVM Runtime Elaboration: Environment variables set in the default build are inherited during elaboration execution

Bugfixes

  • DVT-9322 False syntax errors reported at incremental build in an included file with just the contents of a covergroup or function

  • DVT-18427 Bit Field Diagrams: Sometimes zoom controls overlap with the diagrams

  • DVT-18723 UVM Runtime Elaboration: Add support for enum types in DPI-C function parameters

  • DVT-18800 Bit Field Diagrams: Sometimes toggle layout button does not work on Linux

  • DVT-18820 UVM Runtime Elaboration: DPI-C import function should trigger error when returning struct data type

  • DVT-18863 Bit Field Diagrams: The table is not visible on Windows

  • DVT-19116 Unmapped registers are not displayed in Registers View

  • DVT-19238 UVM Runtime Elaboration: When switching between two projects with previously elaborated tests "Model loaded" is printed multiple times in the console

  • DVT-19304 UVM Runtime Elaboration: When setting a test name via +UVM_TESTNAME it is not displayed correctly in view labels while elaboration is in progress

  • DVT-19357 Verification Hierarchy View: 'Select Top' picks a top in another project when no top is available in the current project

  • DVT-19425 UVM Runtime Elaboration: Logic data type doesn't work when used as an output parameter for DPI-C methods

  • DVT-19427 UVM Runtime Elaboration: reg is not recognized as a 4-state variable in DPI-C method calls

  • DVT-19432 UVM Runtime Elaboration: reg vectors are not associated with the correct type for DPI-C methods

  • DVT-19442 Verification Hierarchy View: Improved top selection dialog UX for e Language and VHDL

  • DVT-19449 Encrypted code auto-API: Not working in if clauses with !condition

  • DVT-19453 Encrypted code auto-API: Not working for method whose return type is assigned to two-dimension variable

  • DVT-19454 Encrypted code auto-API: Not working for constructors when there is already a constructor defined by user

  • DVT-19486 Verification Hierarchy View: Non test elements sometimes appear in the top selection list

  • DVT-19498 UVM Runtime Elaboration: Output bit enum parameters are wrongly mapped to svBitVecVal * when importing DPI-C functions

  • DVT-19509 UVM Runtime Elaboration: DPI-C debugging directives not working

  • DVT-19525 Module declaration error recovery not working in some cases

23.1.22 (22 September 2023)

Enhancements

  • DVT-18006 Add new editor annotation for create calls affected by factory overrides

  • DVT-19184 Verification Hierarchy View: Add new decorator for components affected by factory overrides

  • DVT-19193 UVM Runtime Elaboration: Ability to display the current call stack in a tracepoint

  • DVT-19195 UVM Runtime Elaboration: Ability to add tracepoints on UVM message macros

  • DVT-19254 UVM Runtime Elaboration: Add autocomplete ability to tracepoints editor

  • DVT-19255 Build config: Add support for -amscompilefile library specification

  • DVT-19256 Add support for `worklib/`noworklib pragmas for inline library specification

  • DVT-19257 Add support for non-standard syntax ':amsconfig' in config use clause

  • DVT-19260 Performance Exploration: Ability to automatically generate exploratory waivers

  • DVT-19267 Encrypted code auto-API: Ability to auto define elements through package imports

  • DVT-19366 Trigger error for incorrect struct scope accessed statically

Bugfixes

  • DVT-16988 Promote METHOD_CALL_ARGUMENTS warning to error for input/inout/ref arguments

  • DVT-18381 UVM Runtime Elaboration: Debugger hot swap throws 'Cannot execute function' error after incremental

  • DVT-19249 Verification Hierarchy View: UVM elaborated tops do not appear in the history menu

  • DVT-19268 Encrypted code auto-API: API defined inside a package is not available through imports

  • DVT-19278 UVM Runtime Elaboration: Debugger hot swap while suspended in certain scopes will re-execute the current instruction

  • DVT-19284 UVM Runtime Elaboration: Debugger hot swap doesn't work correctly when executing a method and modifying multiple scopes in the stack

  • DVT-19285 UVM Runtime Elaboration: Debugger hot swap doesn't work correctly after multiple edits

  • DVT-19291 False WIDTH_MISMATCH warning for sum array method with cast iterator

  • DVT-19310 False OVERRIDE_VIRTUAL_METHOD error for method implemented in parent class

  • DVT-19344 Trigger ILLEGAL_ASSIGNMENT error for non-equivalent 2-state vs. 4-state unpacked array type assigned to ref argument

  • DVT-19353 UVM Runtime Elaboration: Fixed duplicate execution of tracepoints on UVM macro calls.

  • DVT-19355 Trigger error for invalid predefined array function call on bitvector variable

  • DVT-19361 False ILLEGAL_ASSIGNMENT error for ref argument connected to output argument

  • DVT-19362 Performance Exploration: NullPointerException thrown when encountering `timescale

  • DVT-19363 Performance Exploration: Some files may be skipped from analysis if a full build is triggered during the exploration run

  • DVT-19367 SIGNAL_NEVER_USED warning disappears at incremental compilation in program

  • DVT-19392 False ILLEGAL_HIERARCHICAL_ACCESS error for enum literal under generate block

  • DVT-19405 Encrypted code auto-API: Not working for elements used in if clauses

  • DVT-19406 Encrypted code auto-API: Wrong return type for auto defined functions in coverpoint iff clauses

  • DVT-19409 False syntax error for HDL property declaration in PSL vunit

  • DVT-19412 Rename Refactoring: When renaming a virtual function of a parametrized class, the parent class functions are not renamed

  • DVT-19426 Inconsistent behavior when resolving relative paths with parent directory segments crossing a directory symlink during build config parsing and file parsing

23.1.20 (6 September 2023)

Features

  • DVT-12661 Code Formatting: Add preference to compact consecutive empty lines

Enhancements

  • DVT-14709 Bit Field Diagrams: Ability to split large UVM registers diagrams on multiple lanes

  • DVT-18039 Editor Notification: Warn a full build need when more than 50 files got changed from the last compilation

  • DVT-19189 Performance Exploration: Ability to define waivers

  • DVT-19294 Bit Field Diagrams: Trim unused bit fields for UVM registers

Bugfixes

  • DVT-15215 Do not allow both local and protected before method declaration or class property

  • DVT-18069 Default case generate item is incorrectly elaborated at incremental in some cases

  • DVT-18131 Do not print carriage return after reporting problems in the Console View on Linux distros

  • DVT-19263 Wrong MISSING_TYPE_IMPLEMENTATION: type is not implemented error thrown in some scenarios when +dvt_encrypted_code_auto_api is specified

  • DVT-19269 METHOD_CALL_ARGUMENTS error on function imported through package is not cleared on incremental when adding a parameter in function definition

  • DVT-19290 Cancelling a restore operation wrongly updates the Build Console

  • DVT-19292 Inhibit BuildCancelException logged many times in certain scenarios

  • DVT-19318 No "Open Implementation" hyperlink for DPI function when implementation is defined before export "DPI-C"

23.1.19 (25 August 2023)

Features

  • DVT-15678 Ability to show including file for filelists

Enhancements

  • DVT-7982 New semantic check for non-virtual implementations of interface class methods

  • DVT-14615 New semantic check that target of 'disable soft' is a variable

  • DVT-18494 & DVT-18495 Editor Notification: Database out of sync notification should be present in diagram editors

  • DVT-19173 Memory Monitor: "Display Memory Warning" preference should only affect the warning pop-up

  • DVT-19174 Memory Monitor: Close the warning pop-up when reaching an error state

  • DVT-19207 Select Verification Top: Ability to override +UVM_TESTNAME argument

  • DVT-19208 Select Verification Top: Present the tests as registered in factory

  • DVT-19218 Console View: Report encrypted code auto-API summary instead of the entire report

  • DVT-19259 UVM Runtime Elaboration: Ability to force stop previous process from error pop-up dialog

Bugfixes

  • DVT-8211 Declare argument quick fix should update virtual functions declaration throughout the whole type hierarchy

  • DVT-10968 False UNDECLARED_IDENTIFIER semantic error for enum value defined inside a struct

  • DVT-13647 Declare Quick Fix: Append scope for element type if not visible in scope

  • DVT-16002 Code Formatting: Whitespaces are not added around tokens followed by the "#" symbol

  • DVT-16478 Code Formatting: Wrong vertical alignment for multi-line assignments

  • DVT-17765 Code Formatting: Tab size preference change is not taken into account until platform restart

  • DVT-18547 Code Formatting: Formatting issue of "case default" when colon is a vertical align token

  • DVT-18801 Bit Field Diagrams: Ability to extract the table in a text format

  • DVT-18909 DVT Debugger: Step over default argument values in functions doesn't work correctly

  • DVT-19112 UVM Runtime Elaboration Debug: Step into macros used in conditional statements issue

  • DVT-19182 Trigger UNDEFINED_OPERATOR error for invalid equality containing a variable of event type

  • DVT-19188 False UNDECLARED_IDENTIFIER error for struct member of assignment pattern inside array assignment pattern with enum literal index

  • DVT-19197 Inspect View is not updating when selecting a view nodes using the keyboard arrows

  • DVT-19200 Select Verification Top: Autofill for UVM Runtime properties not working when elaboration fails

  • DVT-19214 False SIGNAL_NOT_RESET warning for hierarchical signal set multiple times

  • DVT-19216 UVM Elaboration: Duplicate termination trigger on test elab interruption

  • DVT-19222 Invalid ILLEGAL_ASSIGNMENT error for packed array ref argument when actual part has an alias type

  • DVT-19223 Invalid ILLEGAL_CAST_OPERATION error for cast to covercross predefined type CrossQueueType

  • DVT-19230 Design Breadcrumb: Search in drop-down menu broken after previously searching for a nonexistent element

  • DVT-19239 No warning triggered for duplicate enum literal declared inside struct anonymous enum

  • DVT-19241 Build configuration analysis is broken by the use of empty-valued environment variables

  • DVT-19243 Trigger error for event expression passed to function call argument

  • DVT-19251 ILLEGAL_ASSIGNMENT error thrown when auto defining class fields using +dvt_encrypted_code_auto_api

  • DVT-19271 dvt_os_information.sh does not work on Debian Linux due to non-portable uname command

  • DVT-19274 Bit Field Diagrams: Wrong union members representation when using typedefs

23.1.18 (2 August 2023)

Performance

  • DVT-18954 & DVT-18296 Improve UX when double clicking on a parameter in the Design Hierarchy View Members Panel

Enhancements

  • DVT-14777 Add .vh extensions to compilable files in ius.irun/xcelium.xrun compatibility mode

  • DVT-16506 Specify preferences.ini and settings.json keys in Code Formatting documentation

  • DVT-18963 Add shallow compilation modes in content assist proposals for +dvt_shallow_compile directive

  • DVT-19168 Performance Exploration: Ability to benchmark multiple features in the same exploration run

Bugfixes

  • DVT-17115 Editor Notification: Report active build configuration changes from files included with -f

  • DVT-18080 Code Formatting: Wrong vertical alignment of class variable declarations with multiple parameters

  • DVT-18431 Code Formatting: Analysis ports declarations are not vertically aligned

  • DVT-18461 Code Formatting: Vertical alignment doesn't work if variable's type contains the class scope resolution operator "::"

  • DVT-18832 Auto define of encrypted constructor using +dvt_encrypted_code_auto_api is not working when its enclosing class extends other class

  • DVT-19050 Design Breadcrumb is wrongly updated after using hyperlinks in certain scenarios

  • DVT-19106 Add non-standard support for enclosing parentheses around tagged union pattern

  • DVT-19131 Build config: Multiple +dvt_skip_compile+not directives result in no files compiled

  • DVT-19140 Cancel ongoing incremental builds sometimes generates "Waiting operation" artefacts

  • DVT-19146 Build config: -ml_uvm flag in xcelium.xrun mode should be highlighted and suggested in the auto complete list

  • DVT-19155 Build config: Directives starting with -uvm prefix are wrongly interpreted as -uvm

  • DVT-19160 Debugger: Tracepoint message disappears after editing Breakpoint Properties

  • DVT-19162 Debugger: Tracepoint message gets reset to default

  • DVT-19163 Debugger: Tracepoint displays unwanted message in console

  • DVT-19185 Select Verification Top: Duplicate runtime elaboration snapshots entries when displaying multiple tests with the same name

  • DVT-19187 Incorrect resolution of static access to local package disregarding the -pkgsearch directive

  • DVT-19192 Code Formatting: Wrong vertical alignment for multiple class variable declarations on the same line

23.1.16 (18 July 2023)

Enhancements

  • DVT-19036 Build config: Ability to provide the location of xrun instead of automatically detecting it

Bugfixes

  • DVT-15578 $DVT_PVERILOG_P/G_FILE environment variables should be injected in run configuration processes according to the currently opened editor / selection

  • DVT-18416 The DVT-Settings project is continuously re-created when DVT_COMMON_SETTINGS points to a Windows UNC path

  • DVT-18797 Report an Issue: Cannot generate a report after cancelling a previous generation

  • DVT-19103 The Specador HTML generation process crashes after the preferences dialog is closed

  • DVT-19158 UVM Component Diagrams: Missing connections to design interfaces

23.1.15 (7 July 2023)

HIGHLIGHTS

Performance

  • DVT-19098 Improve performance of file compilation when using +dvt_encrypted_code_auto_close

REMOVED

  • DVT-14654 Remove +dvt_enable_non_top_instances_check directive

Features

  • DVT-13345 & DVT-18003 & DVT-3178 New Factory Overrides View

  • DVT-17257 & DVT-9696 New UVM Registers View

  • DVT-17513 & DVT-10005 & DVT-12573 New UVM Config DB View

  • DVT-18943 Debugger: Ability to set tracepoints

  • DVT-19132 Ability to perform UVM runtime elaboration

  • DVT-19133 Ability to debug UVM runtime elaboration

  • DVT-19134 Hyperlink: Ability to show a UVM factory override call in the Factory Overrides View

  • DVT-19135 Hyperlink: Ability to show a register in the Registers View

  • DVT-19137 Ability to load an existing UVM runtime elaboration

Enhancements

  • DVT-8667 Take into account UVM factory overrides when constructing the Verification Hierarchy View and Breadcrumb

  • DVT-17885 Debugger: Ability to search in the Variables View

  • DVT-17987 & DVT-17041 UVM Component Diagrams: Improve design representation to include interface instances

  • DVT-18995 Bit Field Diagrams: Add support for widths defined using parameters in elaborated modules

  • DVT-19085 Bit Field Diagrams: Ability to see the full name of a field in the table on hover

  • DVT-19136 New verification top selection flow allowing UVM runtime elaboration

Bugfixes

  • DVT-19107 False ILLEGAL_ASSIGNMENT error for port connection with bit vector operands are defined with parameter values

23.1.14 (22 June 2023)

Features

  • DVT-18696 Build config: Add support for questa.qrun compatibility mode

  • DVT-18884 Ability to cancel ongoing incremental builds when a new one is triggered

Enhancements

  • DVT-16698 Check for illegal ref/output/inout default value of module port

  • DVT-17723 Differentiate UNDEFINED_OPERATOR / ILLEGAL_ASSIGNMENT error message when only signing differs

  • DVT-18126 Trigger semantic error for incompatible unpacked array because of different element types

Bugfixes

  • DVT-18197 Outline View: Category and alphabetic sorting interfere with quick search results

  • DVT-19014 Symlinks in a DVT Project Template are not copied if the target cotains template identifiers

  • DVT-19015 Adding a symlink from a project template fails if the symlink target already exists in the target project

  • DVT-19037 False ILLEGAL_NET_ASSIGNMENT error for interface variable accessed through modport

  • DVT-19049 Shallow Compilation: StackOverflowError thrown when too many wires are declared in a single instruction

  • DVT-19059 False UNDECLARED_IDENTIFIER error for array initialization when the +dvt_encrypted_code_auto_api is set

  • DVT-19060 False UNDECLARED_IDENTIFIER error for interface variable "self"

  • DVT-19080 False ILLEGAL_NET_ASSIGNMENT error for clocking block variable

23.1.13 (7 June 2023)

Enhancements

  • DVT-19018 Trigger semantic error for illegal ref argument in static method

  • DVT-19025 Build config: Add support for -mcl flag in xcelium.xrun compatibility mode

Bugfixes

  • DVT-18895 False OUTPUT_PORT_READ warning for Verilog_AMS discipline access functions

  • DVT-18980 Console View: Clicking on a hyperlink doesn't work while the console is still updating

  • DVT-19009 Hyperlinks to identifiers inside macro calls do not work properly in specific scenarios

  • DVT-19033 Design Breadcrumb: Navigating down the hierarchy in Diagram Editors makes the navigation bar disappear

23.1.12 (23 May 2023)

Performance

  • DVT-18961 Content Assist: Improve computation speed for `include proposals in slow file systems

Enhancements

  • DVT-9674 Trigger semantic error for illegal ref argument in function call

  • DVT-18983 Detect matches preceded/succeeded by "\n" string when performing text searches with whole word activated

Bugfixes

  • DVT-17069 False ILLEGAL_ASSIGNMENT of arrays of real signals in port connections

  • DVT-17454 Incorrect string value override when using +dvt_defparam build config directive

  • DVT-17753 Quick fix: When used inside a module, the Import Type quick fix inserts the import statement in a wrong location

  • DVT-18412 Trigger semantic error when writing to a parameter

  • DVT-18881 Improve Show Connected TLM Ports accuracy

  • DVT-18933 Quick fix: Declare signal is not working when there are timeprecision/timeunit constructs inside the module

  • DVT-18962 Streaming operators do not evaluate in unpacked array context

  • DVT-18971 Show references in file wrongly shows all the usages from the project

  • DVT-18993 Compilation stops when an `included module is encountered in a -v library file

  • DVT-19008 Exception thrown when analyzing a class that contains forward definition for inner class

23.1.11 (9 May 2023)

Features

  • DVT-18965 DVT CLI: Ability to create projects which allow bringing up file resources on demand

Enhancements

  • DVT-18433 DVT CLI: Ability to always include first level directories when filesystem check fails

  • DVT-18666 Build Console should report when a full build was canceled

  • DVT-18667 Editor Notification: Report database out of sync when a full build was cancelled

  • DVT-18907 Do not trigger PORT_CONNECTION Input connected to output error in unelaborated code

  • DVT-18934 Support for +dvt_elaboration_loop_block_cutoff when elaborating array of instances

  • DVT-18955 Evaluate array of instances range dimension LHS and RHS only as signed expressions

Bugfixes

  • DVT-17926 Correctly compute hyperlinks for method calls used as macro call arguments

  • DVT-18277 False UNDECLARED_IDENTIFIER error for member of inherited field with parameter alias type

  • DVT-18825 Editor Notification: Updating database for a project makes the notification disappear from all other opened projects

  • DVT-18920 False ILLEGAL_VARIABLE_ASSIGNMENTS error for different array selects in concatenation

  • DVT-18924 DVT CLI: -include auto should resolve symbolic links to the project

  • DVT-18925 DVT CLI: Creating a project with filters and custom name will rise an error

  • DVT-18935 False 'No matching `endif' syntax error when files contains non-printable characters

  • DVT-18960 DVT CLI: Creating a project from the full path of a symbolic link doesn't resolve the link

23.1.10 (25 April 2023)

Performance

  • DVT-18854 Improve build performance for projects with many `ifdef guards

Bugfixes

  • DVT-18503 & DVT-18846 Sometimes the breadcrumb navigation bar buttons are inactive after incremental build

  • DVT-18896 False syntax error for `endif found in string literal

23.1.9 (12 April 2023)

Enhancements

  • DVT-18767 Memory Monitor: Avoid false warnings in small projects

  • DVT-18849 Ability to evaluate casex/casez statements during elaboration

  • DVT-18873 Improve error recovery for particular preprocessing contexts

Bugfixes

  • DVT-17975 ASSIGNMENT_BLOCKING warnings not always flagged in clocked always blocks

  • DVT-18594 UVM Components Diagram: Show Sources / Destinations should follow data direction in TLM ports

  • DVT-18759 Code Formatting: Wrong code indentation when a parameters list contains an `include directive

  • DVT-18764 Sometimes Smart Logs don't get applied properly

  • DVT-18819 Case item expression not evaluated with respect to the signing of case items

  • DVT-18850 Incorrect evaluation of case expression with increment/decrement operator

  • DVT-18853 False SELECT_NOT_ALLOWED error for field of specialized class scope type

  • DVT-18860 False NON_EXISTING_PACKAGE error for local package when current library is not specified in the -liblist directive

  • DVT-18865 Incorrect signing for non-ANSI port with separate net declaration

  • DVT-18867 Incorrect size evaluation for self-determined '**' operator

23.1.8 (29 March 2023)

Performance

  • DVT-13635 Ability to do a "shallow" compilation for specific files / invocations in order to speed up full build time

  • DVT-18581 Improve hyperlink performance in very large projects

  • DVT-18816 Speed up incremental build when changing typedef struct/unresolved extern function implementation inside modules or programs

  • DVT-18826 Speed up incremental build when changing functions inside modules or programs

Features

  • DVT-18521 Add support for SystemVerilog AMS

Enhancements

  • DVT-15582 Enhance the +dvt_auto_snps_vip_macros build config directive

  • DVT-18784 Extend ILLEGAL_NET_DATATYPE 2-state datatype check to port declarations

  • DVT-18827 Bit Field Diagrams: Remove unnecessary arrow indicator in single lane mode

  • DVT-18828 Bit Field Diagrams: Legend items are cropped when zooming

Bugfixes

  • DVT-18278 Automatically waive MISSING_FUNCTION_IMPLEMENTATION errors when their implementations are encrypted

  • DVT-18705 Incorrect declaration hyperlink for field type shadowed by local typedef

  • DVT-18738 "Show Connected TLM Ports" action should only be available in Verification Hierarchy Ports View

  • DVT-18743 Compile Order View: Inconsistent compile index between Compile Order and Console when having skipped files

  • DVT-18799 Bit Field Diagrams: Sometimes saving the diagram from Inspect View does not work

  • DVT-18815 & DVT-18806 Incorrect resolution of wildcard import to local package indifferent to the -pkgsearch directive

23.1.7 (15 March 2023)

Performance

  • DVT-18779 Speed up incremental build when changing content of classes nested inside modules or programs

Enhancements

  • DVT-18514 Auto define constraints using +dvt_encrypted_code_auto_api

  • DVT-18578 Trigger semantic error for variable connected to inout port

  • DVT-18713 Throw error for non-net variable inout port

  • DVT-18747 Do not trigger SIGNAL_MULTIPLE_DRIVERS warning for variables

  • DVT-18748 Trigger error for variable written by mixture of continuous and procedural assignments

  • DVT-18749 Trigger error for variable written by more than one continuous assignment

Bugfixes

  • DVT-18053 +dvt_encrypted_code_auto_api does not auto-define class constructors

  • DVT-18492 False MULTI_BIT_EDGE_CONTROL warning for signal bit select

  • DVT-18617 & vscode-1074 Open Declaration on parameterized module where the instance name is the same as module definition doesn't work

  • DVT-18703 Schematic diagrams: Connections made via arrays of interface instances are not shown

  • DVT-18726 Memory Monitor: Sometimes the project name is wrongly displayed

  • DVT-18732 Design Breadcrumb: 'Open Instance' action is not working

  • DVT-18737 Design Breadcrumb: Instances under generate blocks not showing up in drop-down menu

  • DVT-18740 Compile Order View: Wrong number of unique files computed when using -y / -v libraries

  • DVT-18742 Compile Order View: Duplicate library files are skipped

  • DVT-18756 Design Breadcrumb: 'Reveal in Design Hierarchy' action not working when triggered from the drop-down menu

  • DVT-18758 Design Breadcrumb: Wrong 'Copy Hierarchy Path' output when triggered from the drop-down menu

23.1.6 (1 March 2023)

Enhancements

  • DVT-18611 Trigger width/signing mismatch warnings for case expressions

  • DVT-18724 The dvt_os_infomation.sh script should check that the coreutils timeout binary is available

Bugfixes

  • DVT-18276 On rare occasions, when using verbatim tooltips, the computing content message is not cleared

  • DVT-18669 Improve performance of incremental compilation when changing fields of classes used inside large programs

  • DVT-18670 Improve performance of incremental compilation when changing function result implicit variable located inside large programs

  • DVT-18673 The project rebuild should not be launched when choosing "Cancel" option from the unsaved files wizard

  • DVT-18677 StackOverflowError thrown during build for circular typedef in some cases

  • DVT-18682 False NON_EXISTING_TYPE error for local:: qualifier in assertion expression randomize call

  • DVT-18684 Code Formatting: In specific scenarios the "Add New Line After End" preference does not work

  • DVT-18688 Report an Issue: Update e-mail validator to allow lengthy top level domains

  • DVT-18691 Avoid using timeout -k in dvt_os_information.sh because of compatibility issues with older Linux distros

  • DVT-18700 Wrong 'Show instances' label in DVT Views

23.1.5 (21 February 2023)

Enhancements

  • DVT-9519 & DVT-6092 Build Config: Add support for -ml_uvm flag in xcelium.xrun mode

  • DVT-18271 Inspect View: Add a computing message when generating diagrams

  • DVT-18324 Bit Field Diagrams: Ability to split diagrams on multiple lanes

  • DVT-18442 Jump To Connected TLM Ports: Ability to choose the next connection

  • DVT-18606 Build Config: Add support for -uvmexthome flag in xcelium.xrun mode

  • DVT-18613 Bit Field Diagrams: Show hierarchical field names in the table

  • DVT-18646 Handle typedef unpacked dimension change incrementally

  • DVT-18647 Performance Exploration: Ability to run the command on parts of the project

  • DVT-18649 Performance Exploration: Partially skip scopes where the operation takes a lot of time

  • DVT-18650 Performance Exploration: Ability to define waivers

Bugfixes

  • DVT-18117 Add support for escaped ticks (\') in +define or show the error and correctly recover

  • DVT-18299 Compile Order View: View label is not updated when clearing the search box

  • DVT-18599 Bit Field Diagrams: Fix hyperlink location for packed structs

  • DVT-18618 In rare circumstances the licenses is not checked-in when running in GUI mode

  • DVT-18622 Open Super Implementation should place the cursor on the method / constraint name

  • DVT-18637 WAVEDROM_FILE pragma does not work with full paths

  • DVT-18643 Natural Docs: StackOverflowException thrown when using bullet lists

  • DVT-18651 Module instantiated using bind wrongly marked as unelaborated at incremental

23.1.4 (8 February 2023)

Performance

  • DVT-18587 Improve performance of incremental compilation when changing class name defined using forward typedef inside large programs

  • DVT-18595 Improve performance of incremental compilation in very large designs when changing class definitions

DEPRECATED

  • DVT-18604 License: Support for FlexLM tools and dvtlmd daemon <11.19 is deprecated and will be removed in future versions

Features

  • DVT-18605 License: New client implementation based on FlexLM 11.19 (disabled by default)

Enhancements

  • DVT-18585 Wrong formatting for definition lists with long strings for Natural Docs comment syntax

Bugfixes

  • DVT-18240 License: In some cases the license client leaks a large number of CLOSE_WAIT sockets due to a FlexLM bug

  • DVT-18304 DVT CLI: Apply user-specified resource filters before performing the filesystem check

  • DVT-18576 False WIDTH MISMATCH warning when the same interface signal is evaluated multiple times

  • DVT-18589 Trigger width mismatch warning for left-hand side bit vector operand that references local instance parameter

  • DVT-18601 Extract to local variable from an assert condition generates a syntactic error

  • DVT-18602 Wrong "Open Declaration" hyperlink for extern constraints in specific scenarios

  • DVT-18603 License: In some cases when multiple license sources are set and no license is available, the license client is repeatedly queuing and dequeuing for a license

23.1.3 (31 January 2023)

Bugfixes

  • DVT-11725 Quick Fix: Wrong type computed from type parameters

  • DVT-15848 Declare Function Quick Fix: Incorrect number of arguments when the undeclared function is used in a macro call

  • DVT-17826 Queue arguments should be passed by reference when extracting to function

  • DVT-18573 Improve definition lists formatting for Natural Docs comment syntax

  • DVT-18575 "Open Implementation" hyperlink missing after incremental build when the implementation is in a different file

23.1.2 (25 January 2023)

Performance

  • DVT-18485 Improve performance of incremental compilation when changing class function argument defined inside big programs

  • DVT-18561 Improve performance of Open Declaration hyperlink

Enhancements

  • DVT-18488 Report an Issue: Ability to 'Send' or 'Save as Zip' without filling the issue description

  • DVT-18560 Add disable_browser option for dvt.sh and dvt_cli.sh

Bugfixes

  • DVT-18089 Report an Issue: 'Save as Zip' is disabled when the attached files size exceeds 25MB

  • DVT-18415 False NON_EXISTING_TYPE error when using the type operator to refer to the data type of an expression

  • DVT-18489 Report an Issue: 'Add...' button disappears when resizing the dialog

  • DVT-18558 Add timeout for SWT automatic configuration in dvt.sh and dvt_cli.sh

23.1.1 (19 January 2023)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 22.1.# hotfix releases for early adopters.

  • Improved performance of parsing, incremental compilation, design elaboration, semantic checking, hyperlinking, searching in structured views, and build time of projects containing encrypted code

  • Improved design elaboration tuning for scalability, including blackboxing

  • Improved memory consumption of design elaboration, editors and structured views

  • New memory starvation handling mechanism

  • Improved profiling capabilities

  • 22 New semantic checks

  • New Bit Field Diagrams for packed data types

  • Ability to navigate TLM port connections

  • Improved PSL support

  • Improved parameter evaluation during design elaboration

  • Add support for one-hot encoded FSM diagram generation

  • New distros based on Eclipse 4.24 with support for aarch64

Performance

  • DVT-18443 Content Assist: Slow proposal computation in deep design hierarchical access

  • DVT-18467 Improve performance of incremental compilation when changing typedef enum/struct items defined inside programs

  • DVT-18519 Reduce memory footprint during elaboration

  • DVT-18520 & vscode-1043 Quick Fix: Improve "Did you mean" performance

REMOVED

  • DVT-18516 Removed +dvt_enable_elaboration directive

Features

  • DVT-5777 & DVT-13043 New semantic error when calling time consuming actions from functions

Enhancements

  • DVT-17273 Ability to cancel DVT Resource Filters application

  • DVT-18487 Reduce memory footprint of elaborated design with many generates blocks

  • DVT-18518 New Init from SimLog timeout mechanism

  • DVT-18531 Improve Init from SimLog cancel mechanism

Bugfixes

  • DVT-17767 Sometimes user preferences are not applied in corrupted workspaces

  • DVT-17869 Types View: Wrong message is displayed when searching for non-existent members

  • DVT-18158 Update prototype to match extern implementation overwrites parameters default value

  • DVT-18340 Verification Breadcrumb: Preserve path after incremental build if it still exists

  • DVT-18460 False UNELABORATED_ENTITY warning after incremental adaptive elaboration of component instance in some cases

  • DVT-18490 Quick fix: In certain scenarios, add to sensitivity list inserts the signal in the wrong place

  • DVT-18497 Broken parameter declarations after extract to module refactoring

  • DVT-18517 Init from SimLog: Extracted compilation arguments are truncated for lengthy inputs

  • DVT-18529 Ability to cancel the "Resource out of sync" dialog during search operation

  • DVT-18530 Content Assist: No proposals for UVM field registration if the macro for a field could not be determined

22.1.39 (20 December 2022)

Performance

  • DVT-18446 Improve performance of incremental compilation when the included files contain many localparams

Enhancements

  • DVT-18175 Design Breadcrumb: Reveal in Design Hierarchy on a filtered node fails silently

22.1.38 (14 December 2022)

Performance

  • DVT-18424 Improve performance of incremental compilation for classes defined inside programs

Bugfixes

  • DVT-18064 & DVT-18015 Filesystem breadcrumb not updated when opening a search result

  • DVT-18228 False NOF_PORT_CONNECTIONS error when instantiating module with unconnectable ports

  • DVT-18341 Incorrect struct assignment pattern proposal when struct contains enumerated type

Enhancements

  • DVT-18354 Cannot calculate parameter value when assigned to struct assignment pattern with 'default' clause

22.1.37 (6 December 2022)

Bugfixes

  • DVT-18125 Sometimes pasting from clipboard freezes the application while waiting for the source application to send clipboard contents

  • DVT-18389 No references to overridden methods reported when the inheritance is done using type parameters

  • DVT-18410 Error pops up when triggering "Send to WaveForm" run configuration from the Misc project examples

  • DVT-18411 "Send to WaveForm" scripts from the Misc project examples do not work well with some simulators

  • DVT-18413 Remove symlinks from distro UVM_ML-1.6 lib

Enhancements

  • DVT-17442 & DVT-17964 Improve +dvt_init_from_simlog handling of quoted defines when working with xrun logs

  • DVT-18167 Design Hierarchy View: Hide generate blocks that don't have any leaf instances

  • DVT-18362 Trigger semantic error for genvar used in procedural for statement

  • DVT-18407 Trigger semantic error for non-class variable used as argument to class randomize call

22.1.36 (22 November 2022)

Bugfixes

  • DVT-17200 Predefined Projects: uvm_ref_flow does not run in xrun

  • DVT-18295 False error for decimal number containing '?' digit

  • DVT-18358 Missing UNELABORATED_MODULE warning after project restore

  • DVT-18359 Instance parameter values under generate blocks are not elaborated in some cases

  • DVT-18365 False ILLEGAL_IMPLICIT error in some cases where a file is compiled multiple times

Enhancements

  • DVT-18287 Change +dvt_elaboration_skip directives to exclude elaborated instances

  • DVT-18288 Add elaboration directives to black box instances

22.1.35 (17 November 2022)

Performance

  • DVT-18261 Incremental build performance degradation when there are many (tens) of opened editors

Features

  • DVT-11769 Memory Monitor: Memory starvation handling mechanism

Bugfixes

  • DVT-18132 NullPointerException is thrown when undefined environment variables are used in +dvt_skip_compile

  • DVT-18270 Bitfield Diagrams: Table line highlight does not work when clicking an SVG field using the XULRunner web browser

  • DVT-18317 Bitfield Diagrams: SVG fields cannot be clicked if the name has the same length

  • DVT-18338 Wrong one-hot encoded FSM diagram generated when state values have more than 32bits

  • DVT-18344 StackOverflowException during elaboration for a function's implicit return variable used as argument

Enhancements

  • DVT-18105 Add support for 'fl_property' in PSL assertions

  • DVT-18320 Show potential match for method when its class is used as a parameter value

22.1.34 (8 November 2022)

Features

  • DVT-8282 Show computed struct size in tooltip

  • DVT-15584 Bitfield Diagrams: Add support for packed data types

Bugfixes

  • DVT-18280 Package parameter value not computed when referencing another local parameter with package scope

  • DVT-18289 Wrong one-hot encoded FSM diagram generated when state values are parameter values

  • DVT-18297 Incorrect evaluation of an if statement in some cases during elaboration

  • DVT-18300 Show Connected TLM Ports: Ports from 'connect' method argument are not shown

  • DVT-18301 Jump to Connected TLM Port: Ports from 'connect' method argument are not taken into consideration when jumping to connection

22.1.32 (1 November 2022)

Performance

  • DVT-17481 Reduce memory needed during elaboration for design with many generates

  • DVT-18248 Improve hyperlink performance in specific contexts

Features

  • DVT-3004 Ability to navigate between connected TLM ports

  • DVT-18226 Ability to show all connected TLM ports for a selected TLM port

Bugfixes

  • DVT-18097 +dvt_encrypted_code_auto_api does not work for code encrypted using `pragma protect begin_protected/end_protected

  • DVT-18208 False UNDECLARED_IDENTIFIER error for parameterized class enum value accessed hierarchically inside class scope

  • DVT-18224 No hyperlinks available for signal hierarchical access used in port connection after linting

  • DVT-18252 UVM Bitfield Diagrams: Parameters are not evaluated in configurations

  • DVT-18253 False extern constraint/method declaration marked as usage when searching for program with the same name

  • DVT-18256 Performance Exploration: Thread dump session in progress is not stopped when operation is cancelled

  • DVT-18258 Performance Exploration: Report is not generated when operation is cancelled

  • DVT-18259 Performance Exploration: Hyperlink and content assist exploration should not skip read-only files

Enhancements

  • DVT-9572 & DVT-17958 & DVT-18087 Add support for one-hot encoded FSM diagram generation

  • DVT-18104 Add non-standard support for class constructor call as method argument default value

  • DVT-18128 +dvt_encrypted_code_auto_api should define parameters when used inside dimensions

  • DVT-18189 Trigger syntax error for continuous assignments in packages

  • DVT-18257 Performance Exploration: Files should be visited in compilation order

  • DVT-18260 Improve evaluation performance for struct inside struct types in elaboration

  • DVT-18264 Add dedicated build configuration directive for loop cutoff of function statements

22.1.31 (20 October 2022)

Bugfixes

  • DVT-17516 Code Formatting: Wrong vertical alignment of unpacked dimensions for signed module signal declarations

  • DVT-18174 Incorrect macro expansion for `` after parameter usage

  • DVT-18192 Some design exploration capabilities broken in included files

  • DVT-18196 False MISSING_PARAMETER_OVERRIDE error for specialized class forward typedef

  • DVT-18207 "Choose radix for parameter actual value" preference is hidden before full build

  • DVT-18211 DVT CLI: Ignored non-CPP project nature in CPP mixed language projects when the CDT plugin is unavailable

22.1.30 (11 October 2022)

REMOVED

  • DVT-18181 Removed Eclipse 4.19 distros

Bugfixes

  • DVT-18055 Custom Dialog widget enablers not working in Java 11 based distributions

  • DVT-18107 Trigger SIGNAL_MULTIPLE_DRIVERS warning for initial value drivers

  • DVT-18165 Custom Pragmas: Usages are searched for even when no pragma is defined

  • DVT-18171 Incorrect parameter value when assigned to a non-constant function

Enhancements

  • DVT-15168 Bitfield Diagrams: Ability to save the register field table alongside the diagram

  • DVT-17841 Output variables should transform their value with the actual linked value context

  • DVT-18044 Promote UNSPECIFIED_STANDARD_MISSING_TASK_IMPLEMENTATION warning to error

  • DVT-18172 dvt_elaboration_loop_block_cutoff should also limit for/while/repeat statements in function evaluations

22.1.29 (28 September 2022)

Bugfixes

  • DVT-18136 Navigating between editors throws multiple silent BadPositionCategoryException

22.1.28 (28 September 2022)

Performance

  • DVT-18124 Improve performance of incremental compilation when included file contains many extern methods/constraints

Features

  • DVT-17817 Add autocomplete proposal for an assignment pattern that initializes a struct type variable

Bugfixes

  • DVT-17576 Theme Engine: Generate branches background color does not take into account the editor theme

  • DVT-17968 Run Configurations ${selected_resource_loc} variable is not recognized for folders under DVT Auto-Linked

  • DVT-17971 External Builders: Sometimes Smart Logs don't get applied on Eclipse 4.24

  • DVT-17974 False ASSIGNMENT_NON_BLOCKING warning in sequential always block with event control error

  • DVT-17995 "Copy hierarchy path segment separator" preference not taken into account when the action is triggered from the breadcrumb

  • DVT-18050 +dvt_editor_association_override directive doesn't work on Eclipse 4.22 and 4.24

  • DVT-18071 False USAGE_BEFORE_DECLARATION error for type of non-ansi port

  • DVT-18109 The +dvt_set_directive_nof_args only works within the first +dvt_init section

  • DVT-18127 Thread Dump Collector: Fix broken zips caused by SIGINT signals

Enhancements

  • DVT-17409 Build config: -ccwarn directive should take one argument

  • DVT-17593 Build config: -denalipath directive should take one argument

  • DVT-17776 Build config: -dms_wreal_init directive should take one argument

  • DVT-17937 Build config: -max_always_prune should take one argument

22.1.27 (13 September 2022)

Performance

  • DVT-17444 Improve parameter text value computation for large arrays

Bugfixes

  • DVT-17948 False UNDECLARED_IDENTIFIER error thrown when using bound instance in hierarchical accesses

  • DVT-17993 Quick Fix: Create included file shows proposals in wrong order if used inside linked resource

  • DVT-18045 False ILLEGAL_CONSTANT_ASSIGN error for enum literal used with repetition in bins

  • DVT-18058 False syntax errors when -v file contains unicode characters © and “

  • DVT-18059 False UNDECLARED_IDENTIFIER error at incremental for bind instance declared at the library level

  • DVT-18066 Incorrect trace results in action blocks after incremental build in some cases

Enhancements

  • DVT-17348 Sometimes numerous "widget not properly disposed" exceptions are logged

  • DVT-17893 Build config: Add predefined environment variable _XRUNROOT_ in xcelium.xrun compatibility mode

  • DVT-17938 Ability to customize the number of arguments for build config directives using +dvt_set_directive_nof_args

  • DVT-18042 Breadcrumb background is black on Windows in default DVT theme

22.1.26 (7 September 2022)

Performance

  • DVT-17957 Improve performance of incremental compilation on files which contain import clauses and included files

Bugfixes

  • DVT-17922 Settings management doesn't correctly merge keybindings

  • DVT-18021 Do not trigger USAGE_BEFORE_DECLARATION errors for field usages in named action blocks when the declaration file is compiled multiple times

  • DVT-18030 Favorite Searches: Non-type-matching references should not be reported when searching for fields or methods of type-parameterized classes

Enhancements

  • DVT-17891 Throw error when struct assignment pattern has more associations than the structure has fields

22.1.25 (30 August 2022)

Bugfixes

  • DVT-14659 Elaboration support for a configuration used inside another configuration with instance paths relative to the first

  • DVT-17967 Types View: Wrong members for C/C++ language

Enhancements

  • DVT-17860 Automatically compress generated thread dumps

  • DVT-17909 Filter WIDTH_MISMATCH_PADDING warnings on 'uvm_bitstream_t ' predefined type

  • DVT-17986 Add preference to automatically copy custom.css and custom.js file to documentation

22.1.24 (22 August 2022)

Performance

  • DVT-17980 Improve build performance for projects containing encrypted code

Features

  • DVT-17728 New distros based on Eclipse 4.24 and Java GraalVM 17

Bugfixes

  • DVT-17868 Verissimo: Changing waiver file duplicates waiver

  • DVT-17884 False UNDECLARED_IDENTIFIER reported when a covergroup parameter default value references a previously defined parameter

  • DVT-17936 NoSuchMethodError is thrown in Eclipse 4.24

Enhancements

  • DVT-11030 Enhance argument checking for system function calls

  • DVT-17462 Verissimo: Ability to see waivers from ruleset in the Waivers tab of the report

22.1.23 (16 August 2022)

CLEANUP

  • DVT-17912 Do not show BuildCancelException after canceling the elaboration in some cases

Bugfixes

  • DVT-17316 Downgrade pre-installed IndentGuite plugin in Eclipse 4.11 distros

  • DVT-17509 False width mismatch warning when array operand has incorrectly computed integer overflow value

  • DVT-17524 Support for vlogan invocations when configuring the build configuration using +dvt_init_from_simlog

  • DVT-17648 & DVT-17946 Outline view: Enter does not jump to element

  • DVT-17848 DVT Generic Run Configurations do not obey global preference to ask before launch when project has errors

  • DVT-17877 Add support for range expression after concatenation

  • DVT-17945 Do not trigger ArrayIndexOutOfBoundsException for range select in array operand with incorrect computed dimension

  • DVT-17976 Verissimo: Unable to add waivers from the waivers edit dialog when there are no included waiver files

Enhancements

  • DVT-9379 Check completeness of struct assignment pattern

  • DVT-15941 Set a limit for the number of incrementally adaptive elaborated instances

  • DVT-16448 Update Git plugin to the latest version and add LFS support plugin in Eclipse 4.19 and 4.22 distros

  • DVT-17022 Update Python plugin in Eclipse 4.19 and 4.22 distros

  • DVT-17678 Add folding range for #region/#endregion block

  • DVT-17736 Add preferences for FSM Diagrams in Export HTML Documentation Dialog

  • DVT-17744 Ability to set the preferences for default generation of FSM Diagrams

  • DVT-17764 Add support for +defineall+ directive in xcelium.xrun compatibility mode

  • DVT-17807 Verissimo: Add ability to include code in generated HTML report from lint configuration

  • DVT-17846 Verissimo: Throw error for missing baseline report before build

  • DVT-17853 Show Diagram on a port in Ports View should generate a connection diagram

  • DVT-17863 Trigger error for duplicate constraint implementation

  • DVT-17897 Trigger error instead of warning for duplicate constraint

  • DVT-17911 Trigger a NON_STANDARD warning when detecting whitespace after line continuation in macros

  • DVT-17924 Rename Switch Between Editor Parts command to Switch Between Active Editors and move it under Navigate category

  • DVT-17928 Switch to the latest build of Java 11 in Eclipse 4.19 and 4.22 distros

  • DVT-17929 Update Bash and YAML plugins in Eclipse 4.19 and 4.22 distros

  • DVT-17934 Improve recurrence detection for instances under generate block during elaboration

  • DVT-17935 Add option to configure the maximum recurrence depth for instances under generates

22.1.22 (2 August 2022)

Performance

  • DVT-17836 Improve search operation performance in the Compile Order View

  • DVT-17878 Slow incremental adaptive elaboration when changing package content referenced in many modules

Features

  • DVT-17808 Add option to specify elaboration liblist in the project build config file

Enhancements

  • DVT-12709 DVT CLI: Automatically add -include auto when the project directory contains a large number of resources

  • DVT-17428 Natural Docs definition list formatting in tooltips is not rendered correctly

  • DVT-17760 Support for time literals and time type in elaboration

  • DVT-17796 Verissimo: Ability to generate UVM Compliance ruleset XML and HTML documents

  • DVT-17875 Support negative values for loop generate indices

Bugfixes

  • DVT-14925 Incorrect recurrence detected for instances of the same design but with different parameter values

  • DVT-17666 Verissimo: Edit Waivers dialog replaces environment variables in included waiver paths

  • DVT-17809 Verissimo: Creating a lint configuration without running it does not save it

  • DVT-17816 Verissimo: Pre-waived unchanged files based on baseline report are not updated at reapply

  • DVT-17834 Recurrence not detected at incremental elaboration

  • DVT-17837 Tick cast should preserve sign when casting at a specific size

  • DVT-17876 Do not restore when creating a project using dvt_sv_lint_gui.sh -force

  • DVT-17881 OutOfBoundsException thrown when selecting from an unpacked array

  • DVT-17886 Do not initialize static variable in constant function during elaboration

22.1.21 (21 July 2022)

Bugfixes

  • DVT-16701 Add support for %m format specifier when evaluating $sformatf

  • DVT-17383 Show Usages: Refreshing changed files outside DVT takes forever when "Refresh on access" preference is activated

22.1.20 (11 July 2022)

Performance

  • DVT-17734 Improve semantic checking time for projects with many classes specialized with other identically named classes

  • DVT-17771 Slow search operation in Compile Order view for projects with CDT nature

  • DVT-17773 Improve performance for class value parameter computation at incremental build

Enhancements

  • DVT-17675 Throw error for reversed part-select in SV expressions

  • DVT-17743 Perform automatic profiling of design elaboration after a configurable time threshold

Bugfixes

  • DVT-17583 Equality operators return X even when relation is not ambiguous

  • DVT-17766 Editor Notification sometimes leaves visual artefacts

  • DVT-17770 False width mismatch error when local function variable operand has the same name with a module parameter

  • DVT-17777 UNELABORATED_PACKAGE warnings are sometimes not properly triggered at incremental build in the currently edited file

22.1.19 (28 June 2022)

Enhancements

  • DVT-14954 Evaluate assignments where the LH side is hierarchical identifier

  • DVT-17602 Disable OUTPUT_PORT_READ check for SVA usages

  • DVT-17702 Support for anonymous union/struct nested inside packed struct in evaluation

  • DVT-17703 Rename NO_GENERATE_BLOCK_EVAL value of elaboration control directive to EACH_GENERATE_BLOCK_ONCE

  • DVT-17704 Add option to not elaborate generate blocks

Bugfixes

  • DVT-17482 Wrong ILLEGAL_TYPE_REFERENCE error thrown for typedef of virtual interface inside interface function

  • DVT-17668 External builder cannot be stopped from progress monitor

  • DVT-17680 Wrong Open Declaration Hyperlink and Tooltip on module name in included file when specifying top designs

  • DVT-17686 False UNDEFINED_OPERATOR error when assigning a byte unsigned array to a typedef compatible array

  • DVT-17695 Missing UNELABORATED_PACKAGE warning for imported package in unelaborated module

  • DVT-17699 Do not trigger ILLEGAL_NON_INTERFACE_VIRTUAL_FIELD error when the interface name is the same as an enclosing scope typedef declaration

  • DVT-17711 Thread Dump Collector: +dvt_profile+ period should default to 500ms

  • DVT-17722 Semantic errors in unelaborated modules disappear at incremental build when no module is elaborated

  • DVT-17724 Semantic errors not updated throughout the whole project when changing the signing of a module signal

22.1.18 (15 June 2022)

Enhancements

  • DVT-17672 Extend support for second argument of array system functions in evaluation

Bugfixes

  • DVT-14133 UVM Components Diagram: TLM port connections are not displayed when the component instance name does not match the field name

  • DVT-14835 Trigger DUPLICATE_IDENTIFIER warning when the bind target scope contains already a field with the same name as the bind

  • DVT-17658 Build gets stuck during elaboration of some macro defined struct data type parameters

  • DVT-17701 Support for assignment shift in evaluation

22.1.17 (8 June 2022)

Enhancements

  • DVT-17649 Extend support for array system functions in evaluation

  • DVT-17650 Extend support for bit vector system functions in evaluation

  • DVT-17651 Add support for severity tasks system functions

  • DVT-17655 Show top designs message in the Console View at the start of the elaboration

Bugfixes

  • DVT-15358 No error reported when referring an enumerated type range base-name without specifying the index

  • DVT-17027 Incorrect enum literal value when specified with index from enum range

  • DVT-17635 Settings Management does not work properly under Windows

  • DVT-17665 Changes in DVT_COMMON_SETTINGS environment variable are not always reflected in the DVT-Settings project

22.1.16 (31 May 2022)

Performance

  • DVT-17381 Saving source files becomes slow when an external builder is defined

  • DVT-17564 Improve evaluation performance of concatenation replication

  • DVT-17600 Improve semantic checking time for projects with many class specializations

REMOVED

  • DVT-17611 Remove Refactor Connect Properties page from project properties

Enhancements

  • DVT-16914 Improve handling of out-of-sync source files when performing semantic search operations

  • DVT-17587 Extended support for math system functions in evaluation

Bugfixes

  • DVT-17043 Packages imported in unelaborated package are considered elaborated

  • DVT-17581 DVT CLI deadlock during Perforce association

  • DVT-17588 Incorrect tooltip for parameter with no data type and unknown value

  • DVT-17596 Opening external builders project property page should not modify the project description

22.1.14 (17 May 2022)

Performance

  • DVT-17563 Improve performance of semantic checks in the unelaborated design

Bugfixes

  • DVT-17493 Incorrect UNELABORATED_MODULE warning after incremental elaboration for bound module

  • DVT-17541 Verification Breadcrumb: Incremental update in Diagram Editors

22.1.13 (9 May 2022)

DEPRECATED

  • DVT-17543 Deprecate dvt_elaboration_expression_operator_control build directive

Enhancements

  • DVT-17486 Build log/output should be consistent across tools

  • DVT-17492 Ability to skip elaboration of instances based on path pattern

  • DVT-17536 Change +dvt_elaboration_skip_modules directive to accept simple pattern

  • DVT-17554 Change dvt_disable_unelaborated_package_constants directive name to be in line with other similar directives

Bugfixes

  • DVT-17533 Incorrect hyperlink for parameter default value when multiple package classes have parameters with same name

  • DVT-17535 The "-sourcemap" argument does not work in dvt_sv_lint_gui.sh

  • DVT-17538 Incorrect evaluation of $sformatf when format_string is missing

  • DVT-17540 Cannot evaluate streaming operator used on a queue

  • DVT-17551 Verissimo: Out of sync files after performing autocorrect of failures in symlinked files

22.1.12 (3 May 2022)

Enhancements

  • DVT-17182 Trigger warning for unsized constant number in bit concatenation

Bugfixes

  • DVT-15936 Bound instance errors cleaned after incremental adaptive elaboration

  • DVT-17495 Bound instances are incorrectly removed at incremental adaptive elaboration

  • DVT-17504 When searching for a module, all its instances having similar name are also reported

  • DVT-17507 PVerilog: +dvt_pverilog_pattern_tag_map+ should solve environment variables

  • DVT-17508 "SWT Resource not properly disposed" exception is thrown when triggering Diagram Magnifier

  • DVT-17512 Verissimo: GUI report Score does not count checks with all failures waived

22.1.11 (18 April 2022)

Enhancements

  • DVT-17424 Ability to search by any column in tree table views

Bugfixes

  • DVT-14141 Semantic errors in `included files disappear when incremental build is performed in the including file

  • DVT-17363 Verification Hierarchy View: Wrong components after incremental build

  • DVT-17440 Throw MISSING_PARAMETER_OVERRIDE error when no overrides are specified and the parameter has no default value

  • DVT-17457 False UNDECLARED_IDENTIFIER error for upward reference after incremental elaboration

  • DVT-17489 Exception thrown when positional struct assignment pattern has more associations than the structure has fields

22.1.10 (12 April 2022)

Performance

  • DVT-17364 Do not read the entire -v specified library file each time a new library cell need to be instantiated

Features

  • DVT-17449 Verissimo: Ability to pre-waive unchanged files based on baseline HTML report

Enhancements

  • DVT-13591 Including other waiver files should take into account the position of the <include> tag

  • DVT-17360 Throw error for use of the class resolution operator with a prefix that is the unadorned name of a parameterized class

  • DVT-17372 Support for static access of class value parameter evaluation during elaboration

Bugfixes

  • DVT-17394 False SIGNAL_NOT_RESET warning for struct member

  • DVT-17438 Ignore localparam override in elaboration

  • DVT-17461 ConcurrentModificationException thrown sometimes at elaboration in a mixed design when a component binds to module with implicit signals

  • DVT-17469 UVM Component Diagrams: Ports referred by "this" are not displayed connected

  • DVT-17471 Do not show BuildCancelException after canceling the elaboration

  • DVT-17479 Replace globbing in scripts to workaround a shell bug preventing globbing in nested invocations

22.1.9 (30 March 2022)

Enhancements

  • DVT-17400 Lower the default tooltip size when the content cannot be measured efficiently

  • DVT-17402 Disable HTML tooltips for incompatible WebKit versions

  • DVT-17404 Ability to stop elaboration at a certain module or entity based on file path

Bugfixes

  • DVT-9433 Trigger error for incompatible array operands with signed vs. unsigned element types

  • DVT-17260 Incorrect WIDTH_MISMATCH warning when one of the operands is of union type

  • DVT-17288 Keywords should not be hyperlinked

  • DVT-17382 Right argument of shifting operators should be treated as unsigned

  • DVT-17386 Evaluate signal select when passed to $bits system function call

  • DVT-17388 Evaluate uppercase 'X expression

  • DVT-17411 False OVERRIDE_VIRTUAL_METHOD error for type alias of method signature types

  • DVT-17417 Show Usages: String usage should be marked as writer when calling predefined write functions on it

  • DVT-17418 Content Filters not working on Call Hierarchy View

  • DVT-17422 False NON_EXISTING_TYPE semantic error when mixing wildcard and explicit imports and exports

22.1.8 (15 March 2022)

Performance

  • DVT-17135 Resource monitor should adapt scan speed to limit computational overhead

  • DVT-17343 Improve semantic checks for project with many multi level class specializations

  • DVT-17365 Inspect View performance improvement for testbenches with a large number of registers

  • DVT-14716 Limit the number of task tags to improve performance

Features

  • DVT-17389 License: Add support for inline string license sources

  • DVT-17390 License: Add support for platform independent license source separator &

  • DVT-17391 License: Add support for using a local license by multiple applications at the same time under the same user

Enhancements

  • DVT-17183 Change warning message for unsized and unbased decimal numbers of 32 bits with sign overflow

  • DVT-17328 Verissimo: Improve Open HTML Report error handling for compare and merge reports

  • DVT-17331 Verissimo: Limit the number of markers when generating or opening Verissimo report

  • DVT-17336 Correct evaluation of range select containing ambiguous value

  • DVT-17355 Editor Notification: Improve project visibility look & feel when proposing resource filters

  • DVT-17373 Change dvt_elaboration_loop_block_cutoff directive to elaborate at most the number specified of loop instances

  • DVT-17392 License: Send to the license server the client PID instead of the unused and dummy display value

Bugfixes

  • DVT-17216 False ILLEGAL_ASSIGNMENT semantic error when the interface array of instances and the interface array port refer to interfaces in different libraries

  • DVT-17238 Verissimo: Crashes when opening big Verissimo HTML reports

  • DVT-17247 WaveDrom diagrams should be exported using UTF-8 encoding

  • DVT-17303 Implement Extern Method Content Assist: Javadoc comment not being generated

  • DVT-17326 Rename file sometimes erroneously turns absolute paths in `include statements into relative paths

  • DVT-17332 PVerilog: Editors should be restored on platform start-up

  • DVT-17333 Packed value does not change dimension when assigned to VHDL parameter from VLOG expression

  • DVT-17337 False NON_EXISTING_TYPE error when a class type starts with a type parameter in some cases

  • DVT-17346 No instance of a design should be considered changed at incremental if the design is not changed

  • DVT-17352 Incorrect signing positioned before type in function return type tooltip

  • DVT-17377 Module is incorrectly considered changed at incremental if it contains implicit signals

  • DVT-17378 Module is incorrectly considered changed if it has an import clause

  • DVT-17379 Remove support for signing before function return type

  • DVT-17382 Right argument of shifting operators should be treated as unsigned

  • DVT-17393 License: Fixed custom port support in local license sources

22.1.7 (2 March 2022)

Performance

  • DVT-17124 Improved memory usage for code folding

Enhancements

  • DVT-17272 Add protected type code template

  • DVT-17285 Verissimo: Ability to specify if auto-generated HTML report should be auto-opened as well

  • DVT-17300 Verissimo: Generating XML ruleset from GUI should include rule names and parameters

Bugfixes

  • DVT-12402 Missing semantic error for '<scope1>::<scope2>' when scope1 is not a parent of scope2

  • DVT-16975 Trigger semantic error for unknown interface identifier used as prefix of exported modport function declaration

  • DVT-17132 Sometimes collapsed code gets partially expanded without any user action

  • DVT-17308 Renaming a file breaks the includes of that files on Windows

  • DVT-17321 False ILLEGAL_ASSIGNMENT warning when operands have different number of packed dimensions but are equals in size

  • DVT-17341 Re-enable older TLS versions in JRE in order to support all third party plugins

22.1.6 (23 February 2022)

Performance

  • DVT-17262 Improve evaluation performance for range select updates

  • DVT-17284 Improve elaboration performance for instances with many port connections

REMOVED

  • DVT-17311 Removed distros based on Eclipse 4.21

Features

  • DVT-7043 Show available modules from libraries (-v, -y) in autoinstance

  • DVT-16865 & DVT-17261 Add new distro for Linux aarch64 based on Eclipse 4.22 (e422-linux_64_arm)

  • DVT-17309 Add new distro for MacOS aarch64 based on Eclipse 4.22 (e422-macos_64_arm)

  • DVT-17310 Add new distros based on Eclipse 4.22 with Java 17

  • DVT-17312 Ability to relocate $HOME/.dvt using DVT_USER_DIR environment variable

Enhancements

  • DVT-17298 Add option to speed up elaboration in some cases by allowing more working memory

  • DVT-17304 Support for $increment, $high, $low system functions in elaboration

Bugfixes

  • DVT-17130 Invalid MULTIPLE_PACKAGES semantic error when second declaration is imported after usage

  • DVT-17217 Verissimo: ${project_loc} variable is not resolved in Lint Configurations when Project Explorer is not in focus

  • DVT-17277 Incorrect actual value for package constant after incrementally changing its value

  • DVT-17279 Package constant UNRESOLVED_PARAMETER_VALUE warning disappears after incremental elaboration

22.1.5 (16 February 2022)

Performance

  • DVT-17194 Performance improvements for hyperlinks in long scopes

  • DVT-17223 Chained +dvt_init_from_simlog directives lead to performance issues

  • DVT-17252 Improved performance when evaluating pow with very large exponent

Enhancements

  • DVT-16973 Trigger error for non-checker instance inside program

  • DVT-17071 Implement Extern Method Quick Fix: Add javadoc comment for methods without arguments

  • DVT-17127 Specador: Improve look and feel of the Styling preferences page on Eclipse >= 4.11

  • DVT-17220 Improve +dvt_init_from_simlog support for xrun

  • DVT-17237 Ability to specify multiple logfiles at once using +dvt_init_from_simlog+<simple_pattern>

  • DVT-17255 Improve generate block handling after incremental adaptive elaboration that affects the top module

Bugfixes

  • DVT-17138 Content filters not working for the Design Hierarchy Top selector

  • DVT-17185 Toolbar buttons cannot be re-enabled after disablement in custom DVT perspectives

  • DVT-17202 Environment variables from +dvt_prepend_init are not resolved inside makelib sections

  • DVT-17218 Wrong refactoring rename operation when applied on a class with its header defined within a macro

  • DVT-17219 Missing vertical scrollbar in tooltips when content overflows

  • DVT-17221 False errors triggered by -debug_opts indago_pp in xcelium.xrun compatibility mode

  • DVT-17232 DVT CLI should be able to include GIT repositories when there is no underlying project created in the workspace

  • DVT-17233 Extract to module refactoring does not work with always_comb blocks

  • DVT-17259 Incorrect UNDECLARED_MODULE warning squiggle underline in some cases

22.1.4 (8 February 2022)

Performance

  • DVT-17170 Outline View: Prevent memory leaks when closing large files

Enhancements

  • DVT-14736 Show error details by default in the license log

  • DVT-17028 Improve elaboration tooltip for parameters with no actual value

  • DVT-17209 Show LM_PROJECT value in the license log

Bugfixes

  • DVT-17066 Verification Breadcrumb: Flicker during incremental build on unsaved buffer

  • DVT-17102 Sometimes Find and Replace dialog content is not highlighted

  • DVT-17171 Rename Refactoring: Wrong potential match reported when renaming a module parameter

  • DVT-17180 Auto-export HTML report is not done to the specified location

  • DVT-17181 No actual value displayed for changed parameter name after incremental adaptive elaboration

  • DVT-17210 Wrong hexadecimal string value displayed for parameter value

  • DVT-17214 False UNDECLARED_IDENTIFIER semantic error for function call prior to explicit import declaration

22.1.3 (31 January 2022)

Enhancements

  • DVT-17064 Handle macro value changes incrementally

  • DVT-17158 Extend +dvt_elaboration_disable_method_eval directive to class value parameter evaluation

Bugfixes

  • DVT-17105 When searching for a macro, the macro calls used as arguments of other macro calls are not reported

  • DVT-17139 Syntax Coloring: Highlight in Code Templates specific editors might lead to UI hangs

  • DVT-17156 Syntax Coloring: Comments and strings are not properly colored in Code Templates specific editors

22.1.2 (26 January 2022)

Bugfixes

  • DVT-17001 Syntax Coloring is broken in compare editors

  • DVT-17045 Cancel build button is still active after full build has finished

  • DVT-17143 Editor full elaboration notification sometimes doesn't appear for multiple changed instances in the same scope

  • DVT-17144 False UNDECLARED_IDENTIFIER semantic error after adaptive incremental elaboration change to undeclared instance

  • DVT-17154 Some scripts are not working correctly when ANSI colors are always set for some system commands

22.1.1 (18 January 2022)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 21.1.# hotfix releases for early adopters.

Performance

  • DVT-16978 Throttle down DVT Resource Monitor scan speed

Features

  • DVT-2463 & DVT-8579 & DVT-13533 Show the actual value of a parameter in its tooltip and in the Inspect View

  • DVT-3876 & DVT-8191 Intelligent code collapse/coloring for conditional parameterized generate

  • DVT-10071 Ability to show instances of modules/entities from editor in the Design Hierarchy view

  • DVT-11783 Ability to show multiple tops in the Design Hierarchy View

  • DVT-14593 Trigger warning for unelaborated module/entity

  • DVT-14628 Show number of bits for value parameters when elaborated

  • DVT-14653 Show the actual value for an elaborated package constant

  • DVT-16742 Trigger warning for unelaborated package

  • DVT-17078 Changed default to true for the +dvt_enable_elaboration directive

Enhancements

  • DVT-2513 Show module parameters in Design Hierarchy Ports View

  • DVT-4773 Generate design diagram based on evaluated expressions in generate blocks

  • DVT-6020 The "Show Design Hierarchy" action should be aware of the current design breadcrumb

  • DVT-7904 Show dimension for array of instances in design diagrams

  • DVT-8770 Support for bound instances in design diagrams

  • DVT-8936 & DVT-10066 Enhance multiple tops elaboration

  • DVT-10680 Design Hierarchy View: Ability to filter out instances of a specified type

  • DVT-11233 False WIDTH_MISMATCH warning when connecting a logic 0:0 vector to an implicit signal

  • DVT-12462 & DVT-16824 Semantic problems reported under generate branches should contain additional information to ease debugging

  • DVT-12697 Design Breadcrumb should be cursor sensitive

  • DVT-13428 Trigger DUPLICATE_ELEMENT error for bound instances with the same name

  • DVT-14391 Non-standard support for simple target instance name non-local to the bind directive

  • DVT-14012 Quick views should persist their size and location

  • DVT-14630 Add ability to see parameter writer based on the editor design breadcrumb

  • DVT-14646 Jump to declaration for elaboration errors should set the breadcrumb to the relevant path

  • DVT-14702 Support for ordered parameter overrides in configuration rule

  • DVT-14704 Ability to control elaboration steps

  • DVT-14807 Elaborate defparam assignments

  • DVT-14809 Incremental elaboration should be performed only on changed designs

  • DVT-14930 Hyperlinks: Ability to jump to the assignment of a parameter

  • DVT-15181 Design Breadcrumb: Hyperlinking outside the design scope should accurately update the breadcrumb

  • DVT-15209 Elaborate newly added design one level on editor open

  • DVT-15430 Trace diagrams should show accurate loop generate instances

  • DVT-15500 Ability to see post config matches for design ports/parameters

  • DVT-15571 Design Breadcrumb: Select Instance Path for unelaborated generate blocks

  • DVT-16341 Editor notification bar should pop-up when full elaboration is recommended

  • DVT-16445 Add diagram preview for Connect Instances Refactoring

  • DVT-16638 Add build config directive for hiding irrelevant WIDTH_MISMATCH semantic errors

  • DVT-17079 Ability to stop the elaboration of a certain cell (i.e. module, entity) based on name

  • DVT-17080 Add option to skip defparam elaboration

  • DVT-17081 Add option to change the number of elaboration passes when resolving bind directives

  • DVT-17082 Add option to configure the cut-off number for elaborated loop blocks

  • DVT-17083 Trigger elaboration warnings for defective loop blocks

  • DVT-17084 Trigger error for invalid liblist when elaborating an instance

  • DVT-17085 Trigger elaboration error for configuration top with invalid design

  • DVT-17086 Trigger elaboration error for cycles in parameter evaluation

  • DVT-17087 Trigger elaboration error for duplicate tops

  • DVT-17089 Trigger elaboration error for bound instance directly under bound instance

  • DVT-17090 Trigger error for unknown array of instance range

  • DVT-17091 Trigger error for unelaborated bind directive target

  • DVT-17092 Trigger elaboration warning for unresolved parameter expression

  • DVT-17093 Trigger elaboration warning for unresolved enum name expression

  • DVT-17094 Trigger elaboration warning for parameter without value

Bugfixes

  • DVT-7217 Skip checking hierarchical accesses in design elements which are not instantiated

  • DVT-7231 & DVT-7575 Skip checking hierarchical accesses in generate blocks which are not active

  • DVT-10917 Design hierarchy is not correctly computed when using -top top_name and top_name not found

  • DVT-12030 False UNDECLARED_IDENTIFIER semantic error when accessing a signal of a local bound instance

  • DVT-12122 Instance is not bound when bind statement is found on a lower design hierarchy level

  • DVT-14810 Update Design Hierarchy view at incremental for generate condition change

  • DVT-14833 False UNDECLARED_TOP error for VHDL design (entity, configuration) in mixed project

  • DVT-14871 Resolve simple identifiers even in unelaborated designs and generates

  • DVT-14929 Design Breadcrumb: Navigation Bar should be available in included fragment files

  • DVT-15709 Some hyperlinks on design elements are not working when the focus is set on another editor

  • DVT-16018 Quick Fix: Sometimes declaring a for loop iterator using Declare Variable doesn't place the new variable at the beginning of the scope

  • DVT-16171 Elaboration triggered from the editor notification bar may freeze the GUI

  • DVT-16491 Trigger semantic warning for signal width mismatch in instance port connections involving an interface instance signal

  • DVT-16926 Projects created via DVT CLI should get selected in Project Explorer and Problems View

  • DVT-16990 Design diagrams: Show connections not working when breadcrumb is hidden

  • DVT-17050 Declare Port Quick Fix: Declared port width is not computed correctly

  • DVT-17117 Crash when saving diagrams on Eclipse >=4.15

  • DVT-17118 Sometimes Editor Notification mistakenly persists after full elaboration database update

21.1.53 (22 December 2021)

Performance

  • DVT-17160 Halt function evaluation at first unsuccessful update statement evaluation

  • DVT-16846 Improve performance of semantic search for 'randomize()'

REMOVED

  • DVT-16932 Remove old Design Hierarchy View

Features

  • DVT-16920 Ability to auto-export HTML report at end of linting session

Enhancements

  • DVT-17011 Diagrams: Add shortcut to reset magnifier zoom and size

  • DVT-17018 Diagrams: Add shortcut to fit diagram to canvas

  • DVT-17187 Improve evaluation of string variables and concatenations

Bugfixes

  • DVT-12961 Exception thrown when extracting multi-line expression

  • DVT-16870 Emacs+ Plug-in reports UI error

  • DVT-16908 Inconsistent waivers behaviour in Verissimo GUI report

  • DVT-16931 False UNDECLARED_IDENTIFIER for base class parent type parameter used in derived classes

  • DVT-16945 Extract variable does not place variable initialization correctly

  • DVT-16952 Outline View cannot be used if you close it then reopen it

  • DVT-16979 Extract variable does not place declaration correctly in always blocks

  • DVT-16982 Extract to Module Refactoring does not work with some formatting preferences

  • DVT-16999 The "Inspect" hyperlink from problem tooltip does not work when Inspect View is closed

  • DVT-17000 Wrong actual value information in Inspect View when selecting a design parameter in Design Hierarchy

  • DVT-17010 TLM FIFOs overriding from uvm_fifo are not displayed in the simulator generated diagram

21.1.52 (14 December 2021)

Enhancements

  • DVT-16721 Specador: Ability to generate documentation starting from any XML preference file

Bugfixes

  • DVT-16925 Verissimo: Lint configurations without a specified project should work when no project is selected

  • DVT-16940 In certain scenarios, METHOD_CALL_ARGUMENTS errors are not cleared at incremental build

  • DVT-16964 Editor Notification artefacts when horizontally scrolling the editor on Eclipse 4.6/4.7

  • DVT-16966 Profile directives specified in the build file should ignore the Open in Editor dialog option

  • DVT-16967 Report an Issue dialog hangs the UI if there is no Internet connection

  • DVT-16972 Verissimo: Double clicking on the verissimo.html.report file should start importing the report

21.1.51 (6 December 2021)

Performance

  • DVT-16301 Improve performance of rename in file refactoring

  • DVT-16904 UVM Components Diagram: Prevent memory leaks when closing diagram

Enhancements

  • DVT-16711 Add large "Select top" buttons to Verification and Design Hierarchy views when top is not set

Bugfixes

  • DVT-16057 "The workspace tree is already locked" error sometimes pops-up at startup

  • DVT-16823 Writing in a newly opened file is blocked during build in Eclipse 4.19 and Eclipse 4.21

  • DVT-16847 Progress dialog displays wrong message during semantic search

  • DVT-16889 Specador: UML Diagrams should ignore the Content Filters indicator

  • DVT-16895 Specador: Font differences between diagrams generated in GUI vs Batch mode

  • DVT-16905 All views: Graphical artifacts when changing the active build configuration

  • DVT-16909 UVM Components Diagram: Diagrams generated from breadcrumb are not updated at incremental build

  • DVT-16910 Verification Hierarchy View: View is not cleared when changing the current build configuration

  • DVT-16915 Sometimes Scope Breadcrumb causes StackOverflowException on Windows

  • DVT-16923 Illegal reflective access by ro.amiq.dvt.utils.DVTProcessRunner warning when using Java >8

  • DVT-16928 Manually triggered garbage collection causes Breadcrumb "SWT not properly disposed" exception

  • DVT-16936 Verissimo: Import HTML report error dialog buttons are reversed

  • DVT-16937 False UNDECLARED_IDENTIFIER error in bind directive override when the target module is -y parsed

  • DVT-16944 Rename refactoring does not change references in JavaDoc-like annotations for virtual methods

21.1.50 (23 November 2021)

Performance

  • DVT-7556 Custom Dialogs: Snapshot selection is slow for custom dialogs with many widgets

Features

  • DVT-13223 Diagrams: Ability to use a magnifier to locally zoom the diagram

Enhancements

  • DVT-16901 Add problem marker information in Inspect View

  • DVT-16902 Add "inspect" hyperlink in problem tooltip

Bugfixes

  • DVT-16725 False ILLEGAL_ASSIGNMENT semantic error when the interface instance and the interface port refer to interfaces in different libraries

  • DVT-16839 Verissimo: "Lint With" menu entries should be visible without selection

  • DVT-16888 False ILLEGAL_NON_CONSTANT_EXPRESSION semantic error for parameter used in same-named conditional generate block

  • DVT-16897 Bitfield Diagrams: The generator fails when the UVM package is compiled in a separate library

21.1.49 (17 November 2021)

Bugfixes

  • DVT-16891 Recently added resource monitor breaks the license idle time functionality

21.1.48 (16 November 2021)

Performance

  • DVT-16300 Show usages: Improve performance when project files are out of sync

Features

  • DVT-15825 Automatically monitor growing number of resources in the workspace projects and pop-up a notification when performance may be affected

Bugfixes

  • DVT-16807 Rename refactoring with filename / javadoc updates might lead to overlapping code changes

  • DVT-16822 License status and progress bar are not on the right side of the bottom toolbar when starting DVT in a new workspace

  • DVT-16851 Theme Engine: Add support for YAML plugin

  • DVT-16855 Wrong label position for self looping edges in UVM Components Diagram

  • DVT-16867 Thread Dump Collector is not working with Java 11+

21.1.47 (8 November 2021)

Performance

  • DVT-16835 Verissimo: Fix memory leak when waiving a large number of failures

Enhancements

  • DVT-16668 Tooltips: Ability to specify Natural Docs image locations

  • DVT-16816 Tooltips: Ability to embed images relatively to the source file from NaturalDocs comments

  • DVT-16826 Trigger non-standard semantic warning instead of error for non-constant covergroup expression

Bugfixes

  • DVT-16801 Diagrams: Sometimes multiple popup dialogs might get opened when pressing the corresponding toolbar button

  • DVT-16828 Verissimo: Reapply check clears all the failures

  • DVT-16833 False ILLEGAL_ASSIGNMENT semantic error for non-standard empty assignment pattern to associative array

  • DVT-16856 Verissimo: Unable to generate HTML Doc or XML when Console View is in focus

  • DVT-16866 The patches for the Eclipse Platform are not applied

21.1.46 (2 November 2021)

Performance

  • DVT-16359 Verissimo: Fix memory leak when running certain rules in UI

Enhancements

  • DVT-9575 Add support for ternary operator when determining FSM state values

  • DVT-16712 Add support for ternary operator when determining FSM state transition conditions

  • DVT-16810 Add Custom Ruleset entry to the Generate Doc menu

Bugfixes

  • DVT-16757 Inconsistent members context menu behavior for Verification / Design Hierarchy Views

  • DVT-16788 Compile Order View: Search bar does not update the content if the view was minimized before

  • DVT-16794 False NON_CONSTANT_EXPRESSION semantic error for variable used in queue range select when part of certain expressions

  • DVT-16795 Verissimo: Empty dialog appears when performing auto-correct on read-only files

  • DVT-16802 Compile Order View: UI slowdown when updated during the first build of a project

  • DVT-16803 UVM Components Diagram: FIFO TLM ports are not represented in simulator-generated diagrams

21.1.45 (26 October 2021)

Performance

  • DVT-15747 Diagrams: Improve performance of embedded Inspect View updates

  • DVT-16786 Improve performance of incremental compilation when adding a local variable inside a function

Enhancements

  • DVT-12200 Trigger error for non-constant covergroup expressions

Bugfixes

  • DVT-16766 Incorrect tooltip nettype none for wire port when default_nettype is set to none

21.1.44 (19 October 2021)

Features

  • DVT-16759 New distros based on Eclipse 4.21 with Java 17

Enhancements

  • DVT-15815 Ability to embed WaveDrom description files within comments

Bugfixes

  • DVT-16196 Ability to recreate the project even if the .project file is corrupted

  • DVT-16290 Applying quick assist while a build is in progress freezes the UI

  • DVT-16673 Sometimes typing a path in the New Project Wizard is slow

  • DVT-16686 Sometimes "Restore is already in progress" pop-up appears when rebuilding

  • DVT-16740 Code Formatting: Wrong vertical alignment for function variables when compact white-space groups is disabled

  • DVT-16746 UVM Components Diagram: Step Into action does not work from diagrams generated by simulator

  • DVT-16750 Wrong 'Undefined macro name' error thrown when its previous macro definition contains escaped identifier using new line

  • DVT-16752 Verissimo: Out of sync errors thrown when performing autocorrect for the same file auto-linked through multiple different symlinks

  • DVT-16755 Changing the compatibility mode followed by a full build can sometimes lead to an empty work library

21.1.43 (11 October 2021)

Performance

  • DVT-15889 Incremental build performance improvement when changing lots of content at once in large files

  • DVT-16048 Improved performance of "replace all" in large files

Enhancements

  • DVT-1761 Create a predefined project with examples for Run Configurations, Code Factory templates, Custom Dialogs etc.

  • DVT-15693 Add non-standard warning for rand_mode called on fields with missing 'rand/randc' qualifier

  • DVT-15964 Trigger semantic error for virtual/static constructors

  • DVT-16680 Verification Breadcrumb: Display the navigation bar in generated UVM Components Diagram

  • DVT-16693 Verissimo: Add -eclipsespace flag for verissimo.sh -gui

  • DVT-16709 Editor Notification: Change Toggle Details icons to expand/collapse

  • DVT-16717 Add Verissimo menu entry to lint and generate HTML Doc and XML Ruleset

Bugfixes

  • DVT-16663 When changing package name, all types declared in it appear twice in types view

  • DVT-16681 Compile Order View: Incremental changes are not reflected in the view

  • DVT-16702 Editor Notification: False database not up to date message if semantic checking takes longer than threshold

21.1.42 (4 October 2021)

Enhancements

  • DVT-7521 Check usage of non constants in slicing operation

  • DVT-7587 Rename refactoring should also change references in JavaDoc-like annotations

  • DVT-9764 Trigger semantic warning when using non-constant expression in constant contexts

  • DVT-13149 Trigger ILLEGAL_ASSIGNMENT semantic error for mismatched interface assignments

  • DVT-16667 Verissimo: Ability to generate HTML documentation in GUI report

Bugfixes

  • DVT-14579 Show an error when opening a Verissimo HTML report and the browser is not working

  • DVT-16582 Sometimes save cannot be completed when "Hide User Operation is waiting dialog" preference is set

  • DVT-16683 Generated Verissimo HTML Report is not opened with the valid web browser

21.1.41 (27 September 2021)

Enhancements

  • DVT-16098 Editor Notification: Ask for a full-build when changing the content of the active build config

  • DVT-16570 Ability to generate OVM Components Diagram from simulation

Bugfixes

  • DVT-13188 Trigger semantic error for package name in expression

  • DVT-15382 No semantic error triggered for assignment of incompatible queues of enums

  • DVT-16288 Quick Types view shows "No matches found" instead of "All filtered by content filters"

  • DVT-16559 Ensure that DVT distro scripts run with POSIX mode disabled

  • DVT-16618 Editor Notification: Renamed files also appear in incremental max lines exceeded category

  • DVT-16619 Editor Notification: Wrong fixing suggestion when having both renamed files and files exceeding the incremental max lines limit

  • DVT-16649 Compile Order view shows "All filtered by content filters" instead of "No matches found"

  • DVT-16652 Outline View shows "No matches found" instead of "All filtered by content filters"

  • DVT-16658 Editor Notification: Bad indentation when editor window is narrowed

  • DVT-16666 False end label error when block has statement label

  • DVT-16682 Quick Types View: NullPointerException thrown when content filters is enabled

21.1.40 (21 September 2021)

Enhancements

  • DVT-16590 Verissimo: Ability to copy failure information from GUI report

Bugfixes

  • DVT-16459 Verification Hierarchy View shows "No matches found" instead of "All filtered by content filters"

  • DVT-16567 UVM Browser View shows "No matches found" instead of "All filtered by content filters"

  • DVT-16569 Types View shows "No matches found" instead of "All filtered by content filters"

  • DVT-16573 Check assignment pattern in return statement

  • DVT-16603 Macros View shows "No matches found" instead of "All filtered by content filters"

  • DVT-16604 Quick Macros View shows "No matches found" instead of "All filtered by content filters"

21.1.39 (15 September 2021)

Performance

  • DVT-15580 PVerilog: Inhibit diff model update until file generation finishes

Enhancements

  • DVT-15579 PVerilog: Ability to cancel a diff model update

  • DVT-16120 Add support for function call in assignment pattern key

  • DVT-16400 Editor Notification: Display the full path of the reported files

Bugfixes

  • DVT-16624 False UNDECLARED_IDENTIFIER semantic error when instance name is used in assert control system task before declaration

  • DVT-16601 Trigger error for ordered assignment pattern to associative array

21.1.38 (8 September 2021)

Features

  • DVT-16364 New Quick Fix: Ability to create extern method prototype for undeclared out-of body method implementation

  • DVT-16583 Use code templates when generating methods in the editor

Enhancements

  • DVT-6698 Add UNDECLARED_IDENTIFIER semantic error for pattern key in struct assignment pattern

  • DVT-9047 Verification Hierarchy View: Support for creating an instance with create_component function

  • DVT-9480 Add UNDECLARED_IDENTIFIER semantic error for pattern key in associative array assignment pattern

  • DVT-14072 Scope Breadcrumb: Add "Show Diagram" action

  • DVT-16441 Breadcrumb: Tooltips should be split on multiple lines if exceeding a threshold

  • DVT-16563 Add support for Quick Lazy Outline View

  • DVT-16585 Quick Outline View: Quick search should support type and go (enter)

  • DVT-16609 Check completeness of struct ordered assignment pattern

Bugfixes

  • DVT-11182 Content Assist: No proposal for identifiers starting with underscore

  • DVT-16140 Support for worklib library in xcelium.xrun compatibility mode

  • DVT-16554 Verification Hierarchy View: Hyperlinks do not work for create calls not assigned to a variable

  • DVT-16555 UVM Components Diagrams: Instance type not displayed for instances with create call not assigned to a variable

  • DVT-16556 UVM Components Diagrams: Incorrect instance type when the create call and variable type do not match

  • DVT-16571 False ILLEGAL_ASSIGNMENT semantic error when one of the operands is an interconnect port or net

  • DVT-16581 Content Assist: Propose user declared type as function return type

  • DVT-16611 Eclipse doesn't start on MacOS due to missing permissions

21.1.36 (30 August 2021)

Enhancements

  • DVT-9350 The create file quick fix for included file not found errors should also create sub-folders if necessary

  • DVT-16496 Build report: Show total number of lines in project

Bugfixes

  • DVT-8859 Autoconfig should choose with priority the closest path to the including file when setting the +incdir

  • DVT-9358 Build auto-config should descend into symlinks during scan phase

  • DVT-13641 Trigger syntactic error for missing argument name in function implementation

  • DVT-15902 Build report: Files compiled multiple times also show up multiple times in the top by nof lines

  • DVT-16544 Quick Fix: 'Implement Extern Method' inserts incorrect arguments syntax for constructor methods

  • DVT-16550 Do not trigger error for packed vs. unpacked array assignment for user defined nettype port

  • DVT-16551 Do not trigger error when driving a wreal nettype input port

  • DVT-16553 Add port/parameter refactoring is not working after a refactoring connect operation with default input/output port names

21.1.35 (23 August 2021)

Performance

  • DVT-11655 GUI freezes when there are too many annotations on one line

  • DVT-16411 Improve search performance in the Design Hierarchy View

Features

  • DVT-13341 Quick fix: Change 'extends' to 'implements'

  • DVT-15583 Quick fix: Change 'implements' to 'extends'

Enhancements

  • DVT-15748 Add support for Xcelium -xmwarn argument

  • DVT-15751 Add support for Xcelium -lps_lib_verbose argument

  • DVT-16469 Add non-standard support for attribute instance syntax before label of procedural assertion statement

  • DVT-16494 Add support for interconnect net declaration

  • DVT-16516 Add support for interconnect nettype in port declaration

Bugfixes

  • DVT-11440 XVM Field Editor missing fields from included file

  • DVT-13201 No error triggered at incremental build in top file class variable definition after changing the class name

  • DVT-13351 False SELECT_NOT_ALLOWED semantic error when array signal used in port connection has the instance name

  • DVT-15573 Meaningless quick fix proposals shown when using extends instead of implements

  • DVT-16397 Filesystem Breadcrumb: Elements are sometimes not truncated after opening another file

  • DVT-16462 Filesystem Breadcrumb: Show/Hide actions only work in the currently visible editors

  • DVT-16474 Code Formatting: Wrong vertical alignment for class variables of parameterized type

  • DVT-16476 Code Formatting: Wrong vertical alignment for class variables of type_id type

  • DVT-16488 Design Breadcrumb: StackOverflowError thrown for design recurrences

  • DVT-16502 Trigger SELECT_NOT_ALLOWED error for select on unpacked struct

  • DVT-16515 Code Formatting: Wrong indentation after typedef interface class

  • DVT-16519 Trigger SELECT_NOT_ALLOWED error for multiple selects on a bit vector type

  • DVT-16522 Prevent serialization failure for projects containing unusually long expressions

  • DVT-16524 Refactoring Connect: Signal declaration is incorrectly inserted when the enclosing module contains import statements

  • DVT-16529 Specador: Progress indicator does not account for comment processors

  • DVT-16530 viPlugin: Emulation mode does not work with split editors

21.1.34 (16 August 2021)

Enhancements

  • DVT-14119 Add error for virtual keyword used with non-interface identifier in class item

  • DVT-14745 PVerilog: Add Code Factory capabilities in the generated editor tab

  • DVT-15214 Trigger error when qualifier const appears before method declaration

  • DVT-16503 Add support for non-standard Verilog-AMS attribute syntax in variable declaration

  • DVT-16512 Changed error message for ILLEGAL_STATIC_VIRTUAL to include function name

Bugfixes

  • DVT-14207 UVM Components Diagrams: TLM port connections to uvm_tlm_analysis_fifo are not displayed

  • DVT-15834 Design Breadcrumb: 'No elab design element at cursor' message shows up instead of 'no elab design element in file'

  • DVT-16112 Build report console sometimes comes up empty

  • DVT-16504 Verification Hierarchy View: Content Filters indicator broken

  • DVT-16514 Open Implementation hyperlink throws ClassCastException on function prototypes

21.1.33 (9 August 2021)

Performance

  • DVT-16457 Improve hyperlink performance on class extended type/implemented types

  • DVT-16481 Improve hyperlink performance on elements' end label

  • DVT-16482 Improve hyperlink performance on class usage in extern function implementations

Enhancements

  • DVT-6568 Trigger semantic error for non-parameterized class instantiated with parameter values when specified as the default value of a parameter

  • DVT-9964 Check parameter overrides in static class access

  • DVT-10542 Syntax Coloring: Color all levels of class specializations

  • DVT-16439 Trigger semantic error for illegal non-blocking assignment to automatic variable

  • DVT-16464 Add support for '\' macro argument expansion inside identifier

Bugfixes

  • DVT-9627 No error triggered when using the dynamic array 'new' with a class instance

  • DVT-11078 Check parameterized instantiations in non-declarative expressions

  • DVT-12602 False ILLEGAL_TYPE_REFERENCE semantic error for coverpoint named as a class

  • DVT-14520 False UNDECLARED_IDENTIFIER semantic error when multiple bound instances have the same name and a signal is accessed from one of them

  • DVT-14962 UVM Components Diagrams: Filters are not persistent when navigating using the Verification Breadcrumb or Step Into Action

  • DVT-16092 Content Filters indicator broken on Eclipse 4.19 distros

  • DVT-16217 Verification Breadcrumb: Disabling any breadcrumb hides the navigation bar in the diagram editors

  • DVT-16451 Applying content filters does not refresh Types View members pane

  • DVT-16453 Incremental hangs UI when parsing macros in non-top files

  • DVT-16455 False ILLEGAL_NET_TYPE semantic error for var int loop variable declaration

  • DVT-16458 Applying content filters does not refresh Verification Hierarchy ports panel

  • DVT-16466 Syntax Coloring: Highlight not working for enum literal used as assignment pattern key when initializing an associative array

  • DVT-16470 Sometimes the tooltip for build directives is empty

21.1.32 (3 August 2021)

Performance

  • DVT-16449 Improve performance of new name validation in Rename Wizard

Features

  • DVT-16445 Add diagram preview for Connect Instances Refactoring

Enhancements

  • DVT-13631 Trigger an error when instantiating an abstract class

  • DVT-13716 Build Config: Do not trigger error for -SVSEED <seed#> directive

  • DVT-14347 Content Assist: Ability to not propose predefined methods

  • DVT-14795 Inspect View: Ability to pin view content

  • DVT-15338 Redesigned Connect Instances Refactoring

  • DVT-15537 Add RTL specific checking inside programs

  • DVT-16382 Editor Notification: Pop-up when build automatically is disabled

  • DVT-16401 Editor Notification: Display the operation kind performed when updating the database

  • DVT-16421 Scope Breadcrumb: Display the case item value in the navigation bar

  • DVT-16438 Scope Breadcrumb: Trim elements label exceeding 40 characters

  • DVT-16444 Editor Notification: Pop-up when refactor rename file requires a full build

  • DVT-16447 Inspect View: Ability to clone view

Bugfixes

  • DVT-11404 False SIGNAL_NEVER_READ when signal name is used as block label

  • DVT-13535 OVERRIDE_VIRTUAL_METHOD errors are not reported for non matching numeric types for overridden method return and arguments types

  • DVT-15780 Removed 'Stop Build' button from non-build consoles

  • DVT-16435 Code Formatting: Wrong vertical alignment when module has empty port connections

  • DVT-16437 Removed 'Build Report' button from non-build consoles

21.1.31 (27 July 2021)

Performance

  • DVT-16426 Code Formatting: Improve performance when multiple ports are declared on the same line

Enhancements

  • DVT-7189 DVT Debugger: Ability to run simulation for a specified time

  • DVT-7408 DVT Debugger: Ability to restart simulation without recompiling code

  • DVT-13740 Code Factory: Ability to create signals as logic instead of wire

  • DVT-16299 Improve preference page search

  • DVT-16409 Code Formatting: Add vertical alignment pattern for interface port declarations

REMOVED

  • DVT-16390 Removed support for +dvt_disable_checks deprecated values, i.e. UNDECLARED_IDENTIFIER, CONTINUOUS_ASSIGNS, INSTANCES_AND_PORT_CONNECTIONS

Bugfixes

  • DVT-15639 Bitfield Diagrams: Generator fails due to multiple UVM compilation

  • DVT-15887 Design Diagrams: Stepping out of a block diagram generates a schematic diagram

  • DVT-16321 Quick Fix: Update Instance to Match Module Definition doesn't work for modules declared non-ansi style

  • DVT-16376 Semantic highlight not working for pattern key of method call assignment pattern argument

  • DVT-16387 False UNDECLARED_IDENTIFIER semantic error for method call in vams file

  • DVT-16399 & DVT-16412 Design Diagrams: Filters cannot be set to "None" once enabled

  • DVT-16425 Code Formatting: Wrong indentation of class fields when using 'group' as a field name

  • DVT-16431 Missing hyperlinks for included/imported files while using the mouse

21.1.30 (20 July 2021)

Enhancements

  • DVT-1347 Renaming a file-level scope element (module, interface, class, package) should rename the file if the names match

  • DVT-12452 Do not trigger ILLEGAL_CUNIT_REFERENCE for design path passed as scope for $assertoff

  • DVT-16366 Verification Hierarchy View: Preserve expanded state after update

  • DVT-16367 Verification Hierarchy View: Preserve selection after update

  • DVT-16368 Verification Hierarchy View: 'Expand All' / 'Collapse All' should not be persistent

  • DVT-16369 Verification Hierarchy View: Ability to cancel view operations

  • DVT-16370 Verification Hierarchy View: Keep selection in views after clearing the quick search bar

  • DVT-16371 Verification Hierarchy View: Tree scroll state should be persistent across updates if possible

  • DVT-16372 Verification Hierarchy View: Improved 'Expand All' performance for trees beginning with no-children nodes

Bugfixes

  • DVT-15577 Import statements under conditional blocks are ignored

  • DVT-15907 Tooltip hyperlinks don't work when using WebKit browser engine (distros based on Eclipse >4.7)

  • DVT-16352 Deleting port from non-ANSI list does not affect data type declaration

21.1.29 (12 July 2021)

Enhancements

  • DVT-16361 Filesystem Breadcrumb: Change separator to '/'

Bugfixes

  • DVT-16065 No syntax error triggered when specifying end label to an unnamed block

  • DVT-16346 Filesystem Breadcrumb: Dropdown menu shown elements threshold sometimes not working

  • DVT-16351 Filesystem Breadcrumb: Text is not properly visible on dark themes

21.1.28 (6 July 2021)

Performance

  • DVT-16204 Avoid UI freezes due to long tooltip content computation time

Features

  • DVT-16034 New filesystem explorer breadcrumb

Enhancements

  • DVT-15871 New editor notification bar presenting compilation database status

  • DVT-16337 Compile Order View: Ability to jump to the file selection using the return key

Bugfixes

  • DVT-16263 Error markers are sometimes misplaced when using +dvt_auto_link+false

  • DVT-16311 Tooltip not working on macro calls used in `uvm_do_with macro

21.1.27 (28 June 2021)

Bugfixes

  • DVT-16303 DVT Debugger: Exceptions thrown during VCS simulation if expression watch is added for an object

  • DVT-16304 DVT Debugger: Exceptions thrown by the simulator might lead to connection issues

21.1.26 (22 June 2021)

Enhancements

  • DVT-16145 Allow quoting in define directives like +define+NAME="\"some_string\""

Bugfixes

  • DVT-16284 Content Assist: An extra comma may be added after the last port connection at auto-instantiation if "Preserve port comments" preference is enabled

  • DVT-16291 StringIndexOutOfBoundsException when expanding macros

  • DVT-16293 Specador: Design Diagram wizard page elements are disabled when design API is checked

21.1.25 (16 June 2021)

Performance

  • DVT-16245 Improve performance of `include hyperlink

  • DVT-16247 Improve incremental build performance in files with many `includes

  • DVT-16248 Prevent memory leak when closing and reopening the Macros View

  • DVT-16251 Improve incremental build performance for unchanged touched files

  • DVT-16269 Incremental can sometimes be slow when too many editors are opened

  • DVT-16271 Improve Inspect View performance when navigating large files

Bugfixes

  • DVT-16229 Don't throw USAGE_BEFORE_DECLARATION errors for classes inside VIP code when +dvt_encrypted_code_auto_api is activated

  • DVT-16249 False build auto-config errors reported when using -uvmhome CDNS1.2 in xrun compatiblity mode

  • DVT-16255 Resources used by "Create Included File" Quick Fix are not disposed

  • DVT-16273 Specador: Wizard adds empty entries in the navigation menu for unchecked API

21.1.24 (8 June 2021)

Enhancements

  • DVT-16234 Verissimo: Add number of failures in the report

  • DVT-16235 Verissimo: Ability to run XVM rules as OVM rules

Bugfixes

  • DVT-16246 False syntactic errors when macro parameter default value is enclosed in curly or square brackets

21.1.23 (3 June 2021)

Enhancements

  • DVT-15986 Content Assist: Promote "implement missing pure virtual function" proposal for each function

  • DVT-16156 Ability to override design parameters using build configuration directives +dvt_defparam+<top>.<instance1>. ....<instanceN>.<PARAM_NAME>=<expression>

Bugfixes

  • DVT-16105 FSM Diagrams: Transition table is populated with transitions from previous diagram when diagram generation fails at refresh

  • DVT-16106 ClassCastException when clicking transitions from FSM table when diagram failed to generate

  • DVT-16190 Debugger fails when executing commands in VCS shell prior to DVT connection

  • DVT-16226 Specador: Wizard deletes attribute tags if external documentation paths contain environment variables

  • DVT-16237 Hardwire the shell used by distribution scripts to /bin/bash

21.1.22 (24 May 2021)

Performance

  • DVT-16172 Minimize memory consumption caused by empty browser tooltips

Enhancements

  • DVT-16174 Quick Macros View: Quick search should support type and go (enter)

Bugfixes

  • DVT-16116 False SIGNAL_NEVER_* semantic warnings when the module contains .* connected instances of non-existing modules

  • DVT-16143 Specador: Wizard does not accept user defined menus defined in XML files

  • DVT-16173 Breadcrumb becomes unresponsive in currently opened editor after hierarchy updates

  • DVT-16194 False SIGNAL_NOT_RESET semantic warning under some specific cases

  • DVT-16197 Missing USAGE_BEFORE_DECLARATIONS errors after full build when having +dvt_encrypted_code_auto_api activated

  • DVT-16203 NPE thrown by the context menu for the top instance of a schematic diagram

21.1.21 (18 May 2021)

Bugfixes

  • DVT-16188 IllegalArgumentException thrown when closing DVT

21.1.20 (17 May 2021)

Performance

  • DVT-15940 Reduced memory footprint when navigating through many files

Enhancements

  • DVT-16175 Run Configurations: Improved error messages when resolution of ${dvt_cli} variable fails

Bugfixes

  • DVT-16122 Wrong macro expansion for __LINE__ built-in macro when it's inside a multi line macro call

  • DVT-16163 UI hangs until end of build when stopping a thread dump collection with "Open in editor" option active

  • DVT-16168 Verissimo: Unable to auto-correct failures when multiple linting reports are open and source code was changed for a different project

  • DVT-16176 UI hangs when displaying a large number of errors in the editor tooltip

  • DVT-16180 Scope Breadcrumb: Updates are triggered when moving the cursor on the same line

21.1.19 (10 May 2021)

Features

  • DVT-15905 Add a toolbar button to toggle Indent Guide

Bugfixes

  • DVT-16083 Ignore non-existing design tops specified in the build configuration

  • DVT-16104 Semantic Search: When using regex to search for macro declarations, only the first match is reported

  • DVT-16108 Incremental build canceled dialog does not show the correct timeout value

  • DVT-16111 Compile Order View: Show only files with errors does not update the counters

  • DVT-16119 Macro collapse dialog broken until resize is triggered when working with Eclipse 46

  • DVT-16137 False SIGNAL_NOT_RESET semantic warning triggered on blocks without reset

  • DVT-16138 Missing SIGNAL_RESET_BUT_NOT_DRIVEN semantic warning when SIGNAL_NOT_RESET warning not triggered for that block

  • DVT-16144 Trigger error for undeclared identifier reference after 'this' in a randomize-with block

  • DVT-16150 Rename refactoring: Unexpected exception thrown when having potential changes in macro call parameters

21.1.18 (28 April 2021)

Bugfixes

  • DVT-16110 DVT does not start under certain Windows 10 configurations

21.1.17 (26 April 2021)

Features

  • DVT-3206 Semantic check: only reg allowed in always block LHS

  • DVT-3207 Semantic check: No reg allowed when connecting output ports

  • DVT-9740 New refactoring: Rename a file and all its `include and build configuration references

  • DVT-13213 Semantic check: Illegal left-hand-side of assignment for parameters

Enhancements

  • DVT-16082 Quick Compile Order: Reveal the current file when opening the view

Bugfixes

  • DVT-9904 Unable to open Auto-Linked files with names containing the colon ':' character

  • DVT-15997 False ILLEGAL_VARIABLE_REFERENCE semantic error when using a value parameter as a cast type

  • DVT-16076 Semantic check: signal not reset/signal reset but not used

  • DVT-16085 Eclipse 4.19 crashes when storing passwords in native linux keyring

  • DVT-16086 False ILLEGAL_NET_ASSIGNMENT semantic error on LHS select parameters/variables

  • DVT-16088 NullPointerException is thrown while executing some commands due to a bug in a 3rd party command listener

21.1.16 (20 April 2021)

Features

  • DVT-16075 Update Git and Python plugins

21.1.15 (19 April 2021)

REMOVED

  • DVT-16074 Remove distros based on Eclipse 4.4.1 and 4.18

Features

  • DVT-16073 New distros based on Eclipse 4.19

Enhancements

  • DVT-14664 Mark as readers/writers the usages of class getters/setters when searching for a field class

  • DVT-15552 Filter out stdout "Glibc detected java ... free(): invalid pointer" messages

  • DVT-16008 Restore the ability to install PERFORCE.2018 inside compatible distros

  • DVT-16055 Verissimo: Use mono spaced font in Verissimo GUI report

  • DVT-16021 Code Formatting: Add option to indent one parameter per line with the open parentheses on the same line as the parameter receiver

Bugfixes

  • DVT-15646 Validate GTK version before starting up DVT

  • DVT-15895 View element icons are sometimes not visible after platform startup

  • DVT-16035 Design Hierarchy View: Scroll to end of tree after search never ends

  • DVT-16060 Macros view gets broken by multi-line defines

21.1.14 (13 April 2021)

Performance

  • DVT-16047 Improve performance of searching for type aliases defined inside big packages

Enhancements

  • DVT-16036 Macros View: Improved 'Expand All' performance for trees beginning with no-children nodes

  • DVT-16037 Macros View: Table scroll state should be persistent across updates if possible

  • DVT-16038 Macros View: Keep selection in views after clearing the quick search bar

  • DVT-16039 Macros View: Ability to cancel view operations

  • DVT-16040 Macros View: Expand/collapse all should not be persistent

  • DVT-16041 Macros View: Preserve selection after update

  • DVT-16042 Macros View: Preserve expanded state after update

Bugfixes

  • DVT-16017 Semantic search: GUI freeze when checking for source files stored on slow file system and changed outside DVT

21.1.13 (5 April 2021)

Performance

  • DVT-16014 Quick Types View: Improve performance for quick search

Enhancements

  • DVT-15975 SWT automatic configuration excludes incompatible WebKit versions at startup

  • DVT-16012 Filter out stdout "REFRESH:preExec" messages

Bugfixes

  • DVT-16005 Rename Refactor does not work properly for virtual functions with same name defined in sibling classes

21.1.12 (30 March 2021)

Enhancements

  • DVT-3986 Provide an easy way to customize and filter the content of Outline view

  • DVT-6378 Outline View: Preserve expanded state after update

  • DVT-7134 Show indication in Outline View when some/all of the elements are filtered

  • DVT-12422 Switching between views and editor takes a lot of time for big files

  • DVT-12434 Outline view slows down opening large files

  • DVT-15340 Outline View: Ability to sort elements alphabetically or by definition when category sort is on

  • DVT-15973 Add support for assignment pattern as right-hand side of assignment when tracing a signal array

  • DVT-15978 Power Format: Add support for -testbench argument for set_design_top UPF command

  • DVT-15979 Outline View: Preserve selection after update

  • DVT-15980 Outline View: Expand/Collapse All should not be persistent

  • DVT-15981 Outline View: Ability to cancel an "Updating..." action

  • DVT-15982 Outline View: Keep selection in views after clearing the quick search bar

  • DVT-15983 Outline View: tree/table scroll state should be persistent across updates if possible

  • DVT-15984 Outline View: Improved 'Expand All' performance for trees beginning with no-children nodes

Bugfixes

  • DVT-15968 Types View: Wrong number of types after restore

  • DVT-15974 When tracing signals consider assignments inside generate block without local instances

21.1.11 (22 March 2021)

Bugfixes

  • DVT-15919 Wrong operator type 'bit' when operands are enumeration type variables

21.1.10 (15 March 2021)

Bugfixes

  • DVT-15882 Wrong "Unexpected token '\\'" syntactic error reported when having a multiline macro definition inside another macro

  • DVT-15906 UVM Browser: Toolbar buttons are not visible on Eclipse 4.18

  • DVT-15909 When collapsing macro expansions, the diff dialog highlights wrong line numbers

21.1.9 (8 March 2021)

Feature

  • DVT-15880 Init from SimLog: Ability to perform search and replace post-processing of simulator invocation

Enhancements

  • DVT-15843 Add 'Show references to overriden' preference for Caller Hierarchy

  • DVT-15852 Content Assist: Overriding a constructor should keep its parent's signature

Bugfixes

  • DVT-15878 Types View: Wrong message is displayed when searching for non-existent type

  • DVT-15870 Content filters do not always apply when expanding a node in Call Hierarchy View

  • DVT-15881 Init from SimLog: Throw error when no simulator is specified when using user specified patterns

  • DVT-15885 Bitfield Diagrams: Description pop-up is cut inside tooltips

  • DVT-15892 Virtual functions appear duplicated in Callee Hierarchy

21.1.8 (1 March 2021)

Enhancements

  • DVT-2937 `__FILE__ and `__LINE__ should have the current file and current line values

  • DVT-15850 Misleading error message when launching run configuration in empty workspace

  • DVT-15862 Add UVM IEEE 2020-1.1 reference implementation to DVT distro libs

Bugfixes

  • DVT-15813 Do not trigger semantic errors for bind directive port connections found inside unelaborated module

  • DVT-15847 Trigger error instead of warning when constructor call does not match constructor declaration

  • DVT-15853 Sometimes license remained checked-out after the last project was deleted

  • DVT-15854 DVT CLI: The automatically generated build configuration file with +dvt_init_auto is created after the build starts

  • DVT-15857 Sometimes editors remained open after the containing project was closed or deleted

  • DVT-15864 False SIGNAL_NEVER_WRITTEN semantic error for signal connected to output constructor argument

  • DVT-15867 Verissimo: Unable to create lint pre-waivers with simple regex type from Waivers Dialog

  • DVT-15869 Translate pragmas are not colored as inactive code sections

21.1.7 (22 February 2021)

Enhancements

  • DVT-15767 Verissimo: Ability to launch a lint configuration from toolbar button

  • DVT-15768 Verissimo: Add lint configurations to Quick Run dialog

  • DVT-15844 Improve accuracy of virtual function usages

Bugfixes

  • DVT-13594 Content Assist: No autocomplete proposals for enum items when inside uvm_do_with macro

  • DVT-13908 Project Colors: Label background should be adjusted depending on the theme (dark / light)

  • DVT-15697 Content Assist: Extra backtick (`) added when registering a field inside a UVM registration area

21.1.6 (15 February 2021)

REMOVED

  • DVT-15826 Removed Eclipse 4.4.1, 4.6.3, 4.7,2 and 4.11 distros for Windows and MacOS

Performance

  • DVT-15811 Improve Compare Viewer performance on Eclipse 4.18

Feature

  • DVT-15564 Ability to configure the build of a project from simulator log

Enhancements

  • DVT-15792 Update WaveDrom package to v2.6.8

  • DVT-15810 Update Perforce plugin to version 2020.1

Bugfixes

  • DVT-15756 Syntax Coloring: Ability to disable coloring to __ID__ identifiers

  • DVT-15801 Verissimo: Inline waivers are not inserted in auto-linked files

  • DVT-15802 Custom Dialogs: Snapshot does not restore previous selections

  • DVT-15809 NullPointerException thrown when canceling the 'Add File' dialog within the Report Issue dialog

  • DVT-15816 Auto define API in VIPs is not working for fields which should be defined in parent classes

  • DVT-15827 Incremental hangs UI when parsing macros in non-top files

  • DVT-15835 Switch to AdoptOpenJDK 8 for Eclipse 4.11 distros to avoid crashes while doing thread-dumps

21.1.5 (5 February 2021)

Features

  • DVT-9133 Ability to create caller hierarchy / call stack to some function

  • DVT-15532 Ability to auto-declare missing API in specific classes

Enhancements

  • DVT-15769 Mark as inactive the code inside "pragma protect begin_protected/end_protected"

Bugfixes

  • DVT-15665 Call hierarchy node provides labels and jumps to extern function definition when implementation is available

  • DVT-15766 Add Port Quick Fix: New port is added at wrong offset in DVT Auto-linked file

  • DVT-15786 NullPointerException may be thrown from editor override annotations

  • DVT-15791 False error reported when including a file with relative path passing through symlinks

  • DVT-15796 Unexpected exception org/eclipse/ltk/core/refactoring/resource/DeleteResourceChange$1 thrown when deleting a project in Eclipse 4.18

21.1.4 (2 February 2021)

REMOVED

  • DVT-15772 Removed Collaborator 11 plugin from distros due to incompatibilities

  • DVT-15773 Removed Perforce 2015 plugin from distros

Performance

  • DVT-15478 Progress Monitor for "build automatically" makes the slows down the UI in some environments

Features

  • DVT-13441 Add YAML plugin in all distros

  • DVT-13735 Add JSON plugin in all distros

  • DVT-14570 Add EditorConfig plugin in all distros

  • DVT-15257 Pre-install IndentGuide plugin in all distros based on Eclipse 4.11 and 4.18

  • DVT-15258 New distros based on Eclipse 4.18

  • DVT-15403 Add Mylyn plug-in in all distros

Enhancements

  • DVT-14934 & DVT-15501 Update all distro plugins

  • DVT-15647 Content Assist: Improve proposals accuracy inside always blocks

  • DVT-15737 Use proper heap size when invoking java in scripts

  • DVT-15762 Switch to AdoptOpenJDK 11 for Eclipse 4.11 and 4.18 distros

  • DVT-15763 Switch to AdoptOpenJDK 8 for Eclipse 4.4.1, 4.6.3 and 4.7.2 distros

Bugfixes

  • DVT-15759 Incremental compilation gets stuck when defining an interface inside generate blocks

  • DVT-15765 False UNDECLARED_IDENTIFIER semantic error for bound instance name in different library than hierarchical identifier enclosing library

21.1.3 (25 January 2021)

Features

  • DVT-11532 Breadcrumb: Ability to use keyboard shortcuts to navigate the hierarchy

Enhancements

  • DVT-10954 Build config: Add xcelium.xrun compatibility mode

  • DVT-15715 Improve message in build interrupted dialog when a file compile timeout is reached

  • DVT-15723 Add support for 'var' keyword in for loop initialization and variable declaration with lifetime qualifier

Bugfixes

  • DVT-15484 Switching the active build config does not update the toolbar indicator when build automatically is disabled

  • DVT-15679 Verissimo: Inline waivers should be inserted before macro definitions

  • DVT-15691 Long chains of if-else constructs lead to infinite loop during parsing

  • DVT-15732 Wrong syntactic errors reported when using parameterized class inside $typename/$bits

  • DVT-15741 Replace disable statement with disable fork statement in fork template

21.1.2 (18 January 2021)

Performance

  • DVT-15631 Improve PVerilog compare speed

  • DVT-15727 Improve editor performance for files containing many annotations

  • DVT-15728 Selecting large templates in Code Templates View causes UI hangs

Bugfixes

  • DVT-15735 Architecture UML Diagram action doesn't generate the diagram

21.1.1 (11 January 2021)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 20.1.# hotfix releases for early adopters.

  • Improved performance of incremental compilation, semantic checking, hyperlinks, show usages, diagram rendering

  • Improved build auto-config performance

  • Improved design elaboration accuracy for generate blocks and parameter values

  • 30 new elaboration checks

  • 12 new compilation checks

  • 2 new quick-fixes

  • Improved editor performance when working with large files

  • Improved the accuracy of content assist proposals

  • Improved UI look and feel and dark theme support

  • Improved speed of Tooltips rendering

  • Redesigned Compile Order View for performance and usability

  • New Command Line Interface script for Windows

  • New mapping mode for PVerilog

  • New code formatting preferences

  • New table with register field details in Inspect View

  • Improved JavaDoc and Natural Docs comment formatter

  • Improved support for hyperlinks in comments

  • Ability to auto-indent or fully format the code on paste

  • Ability to perform UVM factory registration of classes and fields using content assist

  • Ability to append context information after block end keywords

  • Ability to jump to pair block delimiter keyword

Performance

  • DVT-12783 Improve Types View performance

Features

  • DVT-15522 Add new +dvt_include_build build configuration directive

Enhancements

  • DVT-15667 Types View: Preserve expanded state after update

  • DVT-15668 Types View: Preserve selection after update

  • DVT-15669 Types View: Expand/collapse all should not be persistent across updates

  • DVT-15670 Types View: Ability to cancel view operations

  • DVT-15671 Types View: Keep selection in view after clearing the quick search bar

  • DVT-15672 Types View: Tree scroll state should be persistent across updates if possible

  • DVT-15673 Types View: Improved 'Expand All' performance for trees beginning with no-children nodes

  • DVT-15708 Readers/Writers: Calls to 'queue.pop()' should be marked as read write accesses

  • DVT-15711 Quick Types View: Quick search should support type and go (enter)

  • DVT-15714 Ability to define a shortcut for 'Jump to Assignment' action

Bugfixes

  • DVT-15683 Formatting by external tool does not work

  • DVT-15686 Some of the flags supported by dvt.sh -options are not propagated correctly

  • DVT-15702 Build config: False error reported on -libmap_verbose directive

  • DVT-15704 Design Hierarchy View: Selection is not preserved when searched text is changed

  • DVT-15705 Types view: Wrong types number when Quick Types View is opened

20.1.44 (21 December 2020)

Enhancements

  • DVT-6009 A switch that presumes a scope ends when a file is done for handling "asymmetrical encryption" issues

  • DVT-15632 Content Assist: Add edit boxes when registering multiple UVM fields

  • DVT-15658 Content Assist: Do not add fields that cannot be registered when registering multiple UVM fields

Bugfixes

  • DVT-15652 Syntax Coloring: Improved large file performance in low memory conditions

20.1.43 (8 December 2020)

REMOVED

  • DVT-15661 Remove the Old Compile Order View

Bugfixes

  • DVT-15635 Sometimes the main application window becomes unresponsive when a dialog is about to appear or new dialogs are partially painted

20.1.42 (4 December 2020)

Features

  • DVT-14581 Use dark theme in Verissimo HTML Report when DVT is using a dark theme

  • DVT-15623 Ability to perform UVM factory registration of classes and fields using autcomplete

Enhancements

  • DVT-15622 Content Assist: Improve candidates proposed inside UVM factory registration area

Bugfixes

  • DVT-13648 Auto-config falsely reports missing macro definitions when a file containing `defines is included by multiple tops

  • DVT-15593 Rename Refactoring not working for class extended by another one defined using typedef class

  • DVT-15597 The remaining time of an auto-config phase if timeout was not reached during that phase should be added to the next phase

  • DVT-15608 False ILLEGAL_ASSIGNMENT semantic error when assigning an array of user defined nettype

  • DVT-15612 Batch builder should not load .dvt/waivers.xml files automatically (they should be passed using -compile_waivers)

  • DVT-15617 Show usages: No write access for first parameter usage of $cast function

  • DVT-15618 NPE may be thrown when overwriting a predefined project after DVT restart

  • DVT-15621 Substitution does not work for build configuration files in certain scenarios

20.1.41 (20 November 2020)

Enhancements

  • DVT-15567 Add support for using +dvt_file_substitute with build configuration files

  • DVT-15575 When DVT_XXX_HOME variables are not set, fall back to known $DVT_HOME locations

Bugfixes

  • DVT-15576 False ILLEGAL_ASSIGNMENT error when connecting a part select of a multidimensional packed array to an array of instances port

  • DVT-15585 Message of problems reported in `include non-top file should be prefixed with underscore only when included from non-top files

  • DVT-15592 Macro expansion fails for macro calls preceded by slash

20.1.40 (13 November 2020)

REMOVED

  • DVT-15554 Remove deprecated auto-config dependency sorting algorithm and +dvt_pkg_sort directive

  • DVT-15555 Remove deprecated auto-config scanning algorithm based on RegEx and +dvt_autoconfig_disable_lexer directive

Performance

  • DVT-15469 Decrease tooltip rendering timeout to prevent UI slowdowns

Bugfixes

  • DVT-14462 Message of problems reported in `included non-top-files are not prefixed with underscore

  • DVT-15455 Show usages should require refreshing and incremental compilation of out-of-sync source files

  • DVT-15486 StackOverflowError thrown when triggering some shortcuts (Eclipse 4.11)

  • DVT-15557 Verissimo: Compile waivers XML errors do not stop linting

  • DVT-15558 DVT CLI createProject fails for projects configured in ius.irun build compatibility mode

  • DVT-15559 Bitfield Diagrams: Hyperlink does not jump to the register declaration on Windows 10

  • DVT-15560 Bitfield Diagrams: Diagrams are not rendered properly on Windows 10

  • DVT-15563 No matches when re-running a previous search query after incremental build

20.1.39 (9 November 2020)

Performance

  • DVT-15534 Improve build auto-config scanning performance

Enhancements

  • DVT-14768 Enabling auto-correct in a Verissimo launch configuration while a session is in progress should pop-up a notification

  • DVT-15440 Improve build auto-config timeout handling

  • DVT-15535 DVT CLI: Automatically create a build configuration file with +dvt_init_auto when none was found

Bugfixes

  • DVT-15200 DVT Debugger: Tooltips on elements written using hierarchical paths do not show the actual value

  • DVT-15452 Sometimes the navigation history back/forward buttons don't work

  • DVT-15536 DVT CLI: Build auto-config was done twice when -include auto was active

  • DVT-14734 DVT CLI: -include auto should work on projects configured with +dvt_init_auto

  • DVT-15546 Unable to use ${message_sl} patterns in user-defined external tool filters

  • DVT-15550 Scripts platform check doesn't work for all 64-bit platforms

20.1.38 (30 October 2020)

Enhancements

  • DVT-14318 Filter out stderr dconf-WARNING messages

  • DVT-15530 Ability to use infinite license idle time by setting DVT_LICENSE_IDLE_TIME=0

Bugfixes

  • DVT-14787 XULRunner is causing a "too many open files" error when running on NFS home folders

  • DVT-15508 Rename Refactoring is not working for properties/sequences

  • DVT-15529 Rename across Design Hierarchy: Wrong preview diagram when applied on right hand side port/signal of port connection

20.1.37 (23 October 2020)

Enhancements

  • DVT-15329 Design Breadcrumb: Accurate updates when navigating from the Trace Connections View

Bugfixes

  • DVT-14722 Code Formatting: Wrong vertical alignment of unpacked module signal declarations

  • DVT-15296 Code Formatting: Wrong vertical alignment of module ports with default values

20.1.36 (16 October 2020)

Performance

  • DVT-15460 Improve type checking performance when operand is implicit loop variable

Features

  • DVT-12419 PVerilog: New +dvt_pverilog_pattern_tag_map+ mapping directive

Bugfixes

  • DVT-15454 DVT Debugger: Values for watch expressions are not computed

  • DVT-15459 Build config: -vlogcontrolrelax directive should take one argument

  • DVT-15463 Content Assist: Autoinstance does not work without prefix

20.1.35 (8 October 2020)

Bugfixes

  • DVT-15413 Text PD colors disappear after hovering over Design Breadcrumb

  • DVT-15424 Theme Engine: Entering the preference page applies the last selected theme, even if no changes were done

  • DVT-15434 No module usages reported in configuration use clause

20.1.34 (2 October 2020)

Performance

  • DVT-15390 Apply +dvt_skip_compile+ directives to top files, lib files and lib dirs in early compilation stages for improved performance

Bugfixes

  • DVT-15393 Add Port Quick Fix: New port connection is added without separator

  • DVT-15396 Crashes when generating diagrams

20.1.33 (28 September 2020)

Enhancements

  • DVT-15273 Improve indentation when overriding/implementing a method through autocomplete/Quick Fix

  • DVT-15361 Verissimo: Ability to specify HTML report location when generating it

Bugfixes

  • DVT-11427 No error are triggered when modifying field/port type or function return type in the files where they are used

  • DVT-12761 Add Port/Add Parameter Quick Fix: When newly added port or parameter is already connected but not exist, the connection is duplicated

  • DVT-14693 Verissimo: Unable to create lint waivers from Waivers Dialog on Windows

  • DVT-15254 'Implement extern function' Quick Fix sometimes adds invalid 'super' call

  • DVT-15327 Content Assist: Wrong proposal replacement when overriding constructor with arguments

  • DVT-15364 Show usages and rename refactoring don't work for enumerated type range names

  • DVT-15373 Bitfield Diagrams: The width of the diagram should be based on the number of bits specified by the constructor

  • DVT-15375 Bitfield Diagrams: The name of the last bitfield is not correctly aligned on vertical layout

  • DVT-15379 Rename Refactoring Wizard: Once triggered, force preview remains persistent until the wizard is closed

  • DVT-15380 Verissimo: Manual checks are always considered as passed in the score section of the checks summary

  • DVT-15400 Verissimo: Some checks do not run correctly when using Rerun All

20.1.32 (17 September 2020)

Features

  • DVT-9633 Positional Tooltips: Add support for parameters in module instantiations

Enhancements

  • DVT-15349 Add more info about potential matches in the Search View

  • DVT-15374 Content Assist: Ability to specify JavaDoc comment for generated functions and tasks

Bugfixes

  • DVT-14541 Design Hierarchy View: Current project color is not used for info label

  • DVT-15343 Power Format: Instance paths containing generate blocks are not recognized when using '.' as separators

  • DVT-15359 Content Assist: Wrong override proposals in classes containing more than 100 functions

  • DVT-15366 Design Hierarchy View: Selected top is not properly restored when the library top name contains '.'

  • DVT-15371 Content Assist: 'UVM Field Editor...' proposal does not appear in uvm_component_utils macro if prefix does not start with ` (tick)

  • DVT-15372 Power Format: Incremental build is broken after a few changes in the power format files

20.1.31 (11 September 2020)

Performance

  • DVT-15308 Improve performance of incremental build when changing class name whose "typedef class" resides in big packages

Enhancements

  • DVT-1236 Content Assist: Append context information after block end keywords

  • DVT-7517 Add support for begin / end and other similar blocks to "Jump to Pair Bracket" command

  • DVT-14566 Add support for generic parameters in HTML tooltips and comment hyperlinks

  • DVT-14567 Add support for macros in HTML tooltips and comment hyperlinks

  • DVT-15267 Add support for double colon as segment path separator in hyperlinks

  • DVT-15270 Improve accuracy of element searching for hyperlinks in comments

  • DVT-15316 Closing a project should cancel an ongoing restore operation

  • DVT-15325 Verissimo: Ability to specify HTML report location for lint configuration

Bugfixes

  • DVT-15315 False ILLEGAL_ASSIGNMENT semantic error for array of instances port of real type

  • DVT-15320 Incremental build: "Missing type implementation' error not cleared when 'typedef class' is inside an included file

  • DVT-15330 Wrong label for "Show Instances" action triggered from the editor right click menu

  • DVT-15334 dvt.sh always exits with non-zero code

  • DVT-15328 Incorrect library defined parameter found when checking instance override

20.1.30 (3 September 2020)

Enhancements

  • DVT-14600 Content Assist: Improve proposal order for expressions written in classes

Bugfixes

  • DVT-15213 Content Assist: Override method proposal does not take into account already implemented methods

  • DVT-15284 Design Diagrams: 'Show Connections' action does not work when selecting multiple connections

  • DVT-15299 Compile Order: Auto-linked files are not opened as part of the current project

  • DVT-15309 Verissimo: Unable to run predefined ruleset when there is verissimo_ruleset.xml in .dvt

  • DVT-15310 Verissimo: Unable to run Verissimo predefined ruleset from lint configuration after changing from custom ruleset

  • DVT-15311 Emacs Verilog-mode: Auto action not available

  • DVT-15314 Verissimo: NullPointerException when adding inline waiver in file that is not opened in editor

20.1.29 (28 August 2020)

Enhancements

  • DVT-15180 Code Formatting: Bring opening keyword for block statements to the same line even if the line ends in comment

  • DVT-15246 Verissimo: Ability to select comment style for inline waivers in the Edit Waiver dialog

  • DVT-15279 Build config: Add support for +xmtop build configuration directive

Bugfixes

  • DVT-10693 Build config: Elaboration-related directives specified outside any -makelib should be considered global

  • DVT-15265 Code Formatting: Wrong indentation after array reduction method calls using 'with' clause

  • DVT-15286 When SWT automatic configuration is disabled a wrong configuration is set

20.1.28 (21 August 2020)

Features

  • DVT-8518 New Quick Fix - Create non-existing class in a new file

  • DVT-14982 New Quick Fix - Create non-existing interface class in a new file

Bugfixes

  • DVT-15176 Code Formatting: Wrong formatting when vertical alignment is active for function variable declarations

  • DVT-15241 Slow incremental build when changing the name of class with many extern functions

  • DVT-15249 False FORMAT_SPECIFIER semantic warning when using integer with %s

  • DVT-15266 Build config: -xprop directive should take one argument

20.1.27 (14 August 2020)

Features

  • DVT-13090 New semantic check: Format specifiers should match function argument types

Enhancements

  • DVT-10575 Refine error message for unconnected method outputs

  • DVT-14367 Bitfield Diagrams: Add support for registers that don't directly inherit from uvm_reg

  • DVT-14781 Content Assist: Ability to promote recently used proposals

  • DVT-15152 Verissimo: Reapply automatically all autofixable checks after using auto-correct

  • DVT-15196 Verissimo: Print lint summary in Console View

  • DVT-15203 Trigger warning for overridden virtual method with different qualifier than parent method

  • DVT-15205 Compile waivers: Add support to match problems by default-severity

  • DVT-15206 Compile waivers: Add support to waive all problems at once by disabling match message and path

Bugfixes

  • DVT-13148 Trigger semantic error when comparing/assigning an unpacked fixed sized array to null

  • DVT-14955 Content Assist: Duplicate instance name content assist proposal after elaborated conditional block

  • DVT-15185 Verissimo: Waivers Edit Dialog should preserve path when changing waiver type

  • DVT-15188 Scope Breadcrumb: Inhibit updates while moving the cursor in a file

  • DVT-15194 Rebuilding project after deleting waivers file does not update problem to its original severity in batch mode

  • DVT-15207 ILLEGAL_NON_STATIC_ACCESS semantic error not cleared after incremental compilation

  • DVT-15220 Compile Order: Selection is not preserved after filter is cleared on mixed project

  • DVT-15230 Expand macros does not work for a selection which `includes the definitions of the macros used within

  • DVT-15232 Add syntax error for duplicate class item qualifier (e.g. protected, local)

  • DVT-15234 Verissimo: Added pre-waivers are not taken into account after reapplying all rules

  • DVT-15239 Rename refactoring throws exceptions when changes are performed in the global scope

20.1.26 (30 July 2020)

Enhancements

  • DVT-14047 Verissimo: Print check duration in Console View

Bugfixes

  • DVT-12618 Trigger FATAL_SYSTEM_TASK_FIRST_ARGUMENT non-standard warning for severity task $fatal

  • DVT-13343 Content Assist: Functions coming from super interface classes are not proposed in child interface classes

  • DVT-14178 Conversion of STD_LOGIC to BOOLEAN in conditions should not be applied in files with syntax older than VHDL_2008

  • DVT-14774 Resource filters dialog allow to filter elements inside a folder which exceeds the limits

  • DVT-15143 Trigger semantic error when using real data type in concatenation

20.1.25 (24 July 2020)

Enhancements

  • DVT-7625 Content Assist: Filter out the cases in which "Generate Getters and Setters ..." proposal appears

  • DVT-14951 Verissimo: Ability to resize errors table in auto-correct preview

Bugfixes

  • DVT-14912 Explicitly Declare Quick Assist: Wrong dimension is computed for the newly declared signal

  • DVT-14947 Remove DIRECTION_MISMATCH semantic check

  • DVT-14971 False FSM transitions when state variable preceded by range select

  • DVT-14972 Wrong syntax error triggered when primitive table edge_symbol followed by level_symbol

  • DVT-14978 Waivers generated by +dvt_auto_snps_vip_waivers build config directive are not applied in batch mode

  • DVT-14979 +dvt_preprocess_translate_pragmas directive is not taken into account at full build in batch mode

  • DVT-14981 Positional tooltip doesn't work properly when comments contain brackets

20.1.24 (17 July 2020)

Enhancements

  • DVT-6464 Add code template for interface class

  • DVT-10172 Support concatenation in case condition or/and case item expression when determining finite-state machine diagram

  • DVT-14405 FSM Diagrams: Display transition index label by default

  • DVT-14477 Design Diagrams: Add keyboard shortcut for "Show Connections" action

  • DVT-14598 Theme Engine: Change the default color of notifications

  • DVT-14939 Add Port / Parameter Refactoring: Add support for escaped identifier warning when new name contains invalid characters

  • DVT-14945 Bitfield Diagrams: Ability to jump to register declaration

  • DVT-14965 Triggering a rebuild during restore should allow cancellation of an ongoing restore operation

Bugfixes

  • DVT-14085 Scope Breadcrumb: Show/Hide Unnamed Action Blocks button not working in PVerilog editors

  • DVT-14450 Diagrams: Filters are not persisted when navigating using the Design Breadcrumb

  • DVT-14949 UVM Components Diagram: TLM port connections to arrays are not displayed

  • DVT-14960 False syntax error for nested and concatenated macros used in port connections

  • DVT-14964 Positional tooltip doesn't work when format strings are followed by spaces

20.1.23 (9 July 2020)

Bugfixes

  • DVT-14946 StackOverflowError when showing call hierarchy overrides for projects with large class hierarchies

20.1.22 (8 July 2020)

Performance

  • DVT-14931 Improve performance of instance port connections checks for highly interconnected designs

Enhancements

  • DVT-10936 Trigger semantic error when a net is used as LH side in a procedural assignment

  • DVT-14938 Increase default timeout for grabbing output of external programs (e.g. irun location)

Bugfixes

  • DVT-14921 Covergroup constructor arguments data type and initial value are not updated on incremental build

  • DVT-14937 Design Hierarchy View is not updating after triggering it on a non design element

20.1.21 (2 July 2020)

Performance

  • DVT-14918 Improve performance of search for virtual function references located inside macro calls

Enhancements

  • DVT-14556 Show an info message when WaveDrom diagrams are not shown because they are larger than the configured threshold

  • DVT-14919 Add `view and `noview simulator compiler directives

Bugfixes

  • DVT-14836 Some NON_EXISTING_TYPE and EXTERN_METHOD_SIGNATURE semantic problems are reported on the wrong line in Problems View

  • DVT-14863 Scope Breadcrumb: Filtered elements are no longer grayed-out after mouse hover on Eclipse 4.11

  • DVT-14883 False UNSPECIFIED_STANDARD_NOF_PARAMETER_OVERRIDES semantic warning after restore for type alias of type alias

  • DVT-14885 Compare Viewer doesn't work for git staged files

  • DVT-14888 Wrong name conflict warning thrown when having an element with new name in the scope of a potential match

  • DVT-14889 UNSPECIFIED_STANDARD_NOF_PARAMETER_OVERRIDES semantic warning disappears after incremental build for library level type aliases

  • DVT-14914 Prevent HTML tooltip link action until the tooltip is focused to avoid crashes of WebKit rendering engine

20.1.20 (26 June 2020)

Enhancements

  • DVT-13639 Rename Refactoring: Improve checking of new name conflicts / shadowing

  • DVT-14400 FSM Diagrams: Ability to "Go To Source" from right click menu on a transition table entry

  • DVT-14797 Ability to reload the parameters of some Verissimo rules and rerun the linting without having to re-build the project

Bugfixes

  • DVT-14853 Sometimes the SWT automatic configuration timeout doesn't work

20.1.19 (18 June 2020)

Performance

  • DVT-14682 Improve hyperlink performance on some macros and fields type

Enhancements

  • DVT-13769 Check that in always blocks only one style of assignment is used, either blocking or non-blocking

Bugfixes

  • DVT-14779 Content Assist: Wrong replacement for namespace element proposals

20.1.17 (16 June 2020)

Enhancements

  • DVT-14271 Add non-standard support for a Verilog-AMS attribute syntax in ANSI port declaration

Bugfixes

  • DVT-14539 Build Auto-Config falsely reports unresolved includes for incdirs outside of scan root

  • DVT-14758 DVT CLI: Some commands return before ending due to wrongly used net timeout

20.1.16 (12 June 2020)

Bugfixes

  • DVT-14717 Content Assist: Replacement does not work when triggering content assist after the last character in file

  • DVT-14742 No "Unavailable operation on current selection" pop-up dialog thrown for "Bind method call arguments by position/name" refactoring operations

  • DVT-14743 Content Assist: Sometimes no proposals are reported when candidates contain forward typedef

20.1.15 (4 June 2020)

Enhancements

  • DVT-14649 Organize non-standard checks into strict or not

Bugfixes

  • DVT-14710 Bitfield Diagrams: In vertical layout, access text (RO,RW,W1C) sometimes overflows cells

  • DVT-14723 Split/Join Refactoring: Wrong type is computed for multiple dimension arrays

20.1.14 (28 May 2020)

Performance

  • DVT-14681 Improve performance of searching for macros references

Bugfixes

  • DVT-14666 Outline View does not update until first incremental or full build

  • DVT-14698 dvt.sh / dvt_cli.sh -eclipse_args -pluginCustomization is not taken into account

  • DVT-14699 DVT Debugger running with irun stops working after expanding a ref variable in Variables View

20.1.13 (22 May 2020)

Bugfixes

  • DVT-14690 Wavedrom diagrams are not showing up in Inspect View on Eclipse 4.11

20.1.12 (21 May 2020)

Performance

  • DVT-14668 Improve speed of HTML tooltips rendering

CLEANUP

  • DVT-14683 Theme Engine: Change tooltip color to white for all tooltips

Enhancements

  • DVT-14660 Add name of design element and instance to MISSING_PORT_CONNECTION error

Bugfixes

  • DVT-14558 Sometimes the HTML tooltips are not properly sized

  • DVT-14626 Wrong "Expand .* port connection" refactoring operation when having multiple bound instances with the same name

  • DVT-14662 Wavedrom diagram flashes inside the Inspect View while editing

  • DVT-14669 Design Hierarchy View: View is not updating when Ports View is hidden

  • DVT-14677 Verissimo: Unable to create lint waivers from Waivers Dialog when the waivers file does not exist

  • DVT-14678 Semantic Search: Stopping current search query is not working when searching for declarations

20.1.11 (15 May 2020)

Performance

  • DVT-14640 Compile Order View: Improved 'Expand All' performance for trees beginning with no-children nodes

  • DVT-14651 Improve speed of JavaDoc and Natural Docs formatted tooltips

Enhancements

  • DVT-13619 Show warning when using an unresolved environment variable in compile waivers, Verissimo waivers and ruleset files

  • DVT-14543 Trigger non-standard warning when casting void function calls to void

  • DVT-14634 Compile Order View: Preserve expanded state after update

  • DVT-14635 Compile Order View: Preserve selection after update

  • DVT-14636 Compile Order View: Tree scroll state should be persistent across updates if possible

  • DVT-14637 Compile Order View: Expand/collapse all should not be persistent

  • DVT-14638 Compile Order View: Ability to cancel view operations

  • DVT-14639 Compile Order View: Keep selection in view after clearing the quick search bar

  • DVT-14641 Quick Compile Order View: Quick search should support type and go (enter)

  • DVT-14643 Trigger error when casting task calls to void

Bugfixes

  • DVT-14578 Verissimo: Improved response time to cancel for XVM51b

  • DVT-14588 Wrong USAGE_BEFORE_DECLARATION semantic error for non-ANSI declared port used before type specification

  • DVT-14604 Wrong Inspect View definition for interface type name in an interface array instantiation

  • DVT-14607 Content Assist: Improve autocomplete proposals in sections of code containing errors

  • DVT-14624 Some Windows scripts don't work when DVT_HOME contains spaces

  • DVT-14642 UI hangs for several seconds at start of full build for large projects

  • DVT-14644 Wrong instance type for module and entity with the same name in libraries with the same name

  • DVT-14647 Theme Engine: Filter boxes are not properly colored in Eclipse Dark Theme

20.1.10 (11 May 2020)

Performance

  • DVT-14625 Content Assist: Proposals are displayed slowly if they have big comments

CLEANUP

  • DVT-14572 Change SIGNAL_NEVER_USED semantic warning message for unused parameters

Enhancements

  • DVT-12538 Add support for JavaDoc code tag in comments

  • DVT-14515 Verissimo: Ability to skip read-only files for which auto-correct can not be applied

  • DVT-14548 Theme Engine: Improve support for Eclipse dark themes

  • DVT-14596 Enhancements for JavaDoc and Natural Docs comment formatter

  • DVT-14602 Verissimo: Show an error when trying to apply auto-correct based on an obsolete linting result (after the source code changed)

  • DVT-14610 Add non-standard support for enum implicit type when signing is specified

  • DVT-14611 Incorrect scope for module declaration after UDP declaration with syntax error

Bugfixes

  • DVT-8722 JavaDoc comment is not picked up correctly when using a blank line after @param

  • DVT-14546 Theme Engine: Call Hierarchy View "Refresh" label is not visible in dark themes

  • DVT-14551 Theme Engine: Blinking notification text is not visible in dark themes

  • DVT-14554 Theme Engine: Error messages when saving a custom dialog snapshot are not visible in dark themes

  • DVT-14573 Theme Engine: Call Hierarchy info label is not properly colored when using Project Colors on Eclipse 4.11

  • DVT-14576 Theme Engine: Info labels are not colored uniformly in all views when using Project Colors

  • DVT-14574 Design Breadcrumb: Hyperlinks on parameterized modules should keep the current breadcrumb path

  • DVT-14575 Design Breadcrumb: Select Instance button not working for parameterized module instances

  • DVT-14576 Theme Engine: Info labels are not colored uniformly in all view when using Project Colors

  • DVT-14577 Sometimes the SWT automatic configuration fails for XULRunner in high load CPU scenario

  • DVT-14589 Tooltips do not work on an already opened file after DVT is restarted

  • DVT-14592 Theme Engine: Pattern matching in Verissimo Waivers Editor is not visible in dark themes

  • DVT-14601 Design Breadcrumb: Hyperlinks on parameters should keep the current breadcrumb path

  • DVT-14603 Content Assist: Wrong proposals when triggering autocomplete after macro call followed by whitespace

  • DVT-14609 Stop build from console button does not work for CLI-initiated builds

  • DVT-14613 Inspect View does not show number information when semicolon is missing

  • DVT-14616 StringIndexOutOfBoundsException thrown when a macro parameter is used at the beginning of the macro replacement text

20.1.9 (30 April 2020)

Performance

  • DVT-14537 Design Hierarchy View: Improve "Collapse All" action performance

Features

  • DVT-12679 DVT CLI: Add dvt_cli.bat script for Windows

  • DVT-14325 DVT CLI: Add -nostart flag to avoid starting a new Eclipse instance

Enhancements

  • DVT-4591 DVT CLI: Use the last workspace or $HOME/dvt_workspace if no workspace is specified

  • DVT-5502 DVT CLI: Add support for relative paths in createProject and importProject commands

  • DVT-14232 Use full path to system commands in Windows scripts to avoid issues when different tools replace the builtin commands

  • DVT-14427 Show macro and parameter values in autocomplete tooltips

  • DVT-14488 DVT CLI: Ability to open a non-blocking dialog when using openCustomDialog command

  • DVT-14521 Content Assist: Improve proposal order for functions enclosed in classes

Bugfixes

  • DVT-12198 DVT CLI: Killing a dvt_cli.sh -noexit using Ctrl+C does not kill the spawned Eclipse process

  • DVT-14483 Design Breadcrumb: Select Other Instance sometimes not updating the breadcrumb

  • DVT-14505 Declare Field Quick Fix: Do not expand macros used in packed/unpacked dimensions when declaring a new field

  • DVT-14508 False SELECT_NOT_ALLOWED semantic error for select on packed struct multi-dimensional array

  • DVT-14538 Theme Engine: "Restore Defaults" action does not change Eclipse Theme to classic on Linux

  • DVT-14547 Remove wrong FOREACH_LOOP_CONDITION non-standard semantic warning

  • DVT-14555 Some arguments / preferences and internal settings are ignored when starting dvt.sh with -bg

  • DVT-14557 Autocomplete tooltips are showing internal ID's instead of the correct information

  • DVT-14559 Design Breadcrumb: Add Copy Hierarchy Path action for elements in the dropdown menu

  • DVT-14560 Open Instance Hyperlink should be available only on design elements definition

  • DVT-14561 Open Instance Hyperlink should not remove the generate block elements from the Design Breadcrumb

20.1.8 (16 April 2020)

Enhancements

  • DVT-14501 Content Assist: Infer generic interface type based on the breadcrumb

  • DVT-14502 Content Assist: Add support for actual interface parameter type based on the breadcrumb

Bugfixes

  • DVT-14471 Extract to Module proposal should not be available when selection contains classes

  • DVT-14500 Rename refactoring is not working for macros

20.1.7 (10 April 2020)

Performance

  • DVT-14489 Improve performance of Show Usages in projects with many files stored on slow filesystems

Features

  • DVT-14455 Inspect View: Add a register field table for bitfield diagrams

Enhancements

  • DVT-5570 Auto-indent begin to match indent of if statement

  • DVT-14009 Open method declaration hyperlink should jump by default to out of body implementation instead of extern definition

Bugfixes

  • DVT-12030 False UNDECLARED_IDENTIFIER semantic error when accessing a signal of a local bound instance

  • DVT-14490 Semantic search not working when full name of the searched element has more than two segments

  • DVT-14492 NPE triggered when renaming a signal using CTRL + 1 shortcut

20.1.6 (2 April 2020)

Enhancements

  • DVT-14337 Ability to auto-indent or fully format the code on paste

  • DVT-14461 Smart Logs: Use appropriate coloring in dark themes

Bugfixes

  • DVT-9088 Cursor losing position when calling Undo after formatting the code

  • DVT-14373 Extract to Module Refactoring: Default selected extension should be the same as the one of the source file

  • DVT-14434 Theme Engine: Dark UI theme does not work on distros based on Eclipse 4.7 or lower when using GTK3

  • DVT-14452 Wrong rename operation for module ports usages with the same name from different libraries

  • DVT-14458 Instance incorrectly resolved to first library matching module instead of parent library match

  • DVT-14465 Extract to Module Quick Assist: GUI hangs when selection is at the beginning of document

  • DVT-14466 Extract to Module Quick Assist: Preprocessing directives are not collected from the beginning of the file

  • DVT-14470 False METHOD_CALL_ARGUMENTS semantic error for a DPI import function duplicated many times

  • DVT-14476 False NON_STANDARD_HIERARCHICAL_METHOD_CALL warnings

  • DVT-14479 False errors in the XML editor for compile waivers with multiple <include> tags and without any <waiver> tag

  • DVT-14480 Template and Code Factory code is not generated when the formatter is set to add white-space before "{"

20.1.5 (27 March 2020)

Performance

  • DVT-14431 Improve Refactoring Diagram generation performance

Enhancements

  • DVT-14421 Automatically apply code formatting when generating code using Override Methods Dialog

  • DVT-14424 Use smart log predefined filter coloring in conjunction with custom log_styles.xml

  • DVT-14425 Build Config: Add support for multiple tops specified with -top top1+top2+top3

  • DVT-14439 Add search hit for port/parameter connected through position

  • DVT-14454 Automatically apply code formatting when generating code using Generate Getters and Setters Dialog

Bugfixes

  • DVT-14008 Show usages: Calls to specific methods of an object should be marked as writers - like queue.push_back()

  • DVT-14155 Add support for Xcelium -xmnote argument

  • DVT-14360 Hyperlinking in tooltips does not work when using XULRunner

  • DVT-14361 Tooltips are not properly resized on slower browsers

  • DVT-14420 Wrong indentation when generating macros using XVM Field Editor and editor is using tabs instead of spaces

  • DVT-14423 Code formatting: Wrong foreach formatting inside constraints

  • DVT-14429 UVM Components Diagram: Step Into action does not work from diagrams generated by simulator

  • DVT-14435 Invalid thread access triggered from Custom Pragmas

  • DVT-14453 Design Hierarchy View: Last nodes are not visible when using GTK3 on some Linux distros

20.1.4 (20 March 2020)

Performance

  • DVT-14366 Theme Engine: Improved the response time when switching between themes in the Themes preference page

Enhancements

  • DVT-12891 Custom Pragmas: Ability to change the color in the UI for pragmas defined through Settings Management

  • DVT-14340 Adjust the dark theme of WaveDrom Diagrams for better readability

  • DVT-14348 Bitfield Diagrams: Add support for uvm_reg_field fields instantiated with new operator

  • DVT-14372 Extract to Module Refactoring: Extracted code should be in same directory as the source file

  • DVT-14382 Custom Dialogs: Ability to customize the minimum height and width for the class/struct/test selector widgets

Bugfixes

  • DVT-10128 Quick Fix: Do not propose declare argument for predefined methods

  • DVT-10548 Views show 'logic' type parameter value for parameterized types when no default value is provided

  • DVT-11285 False SIGNAL_NEVER_USED semantic warning for parameter used as the default value of a different type parameter

  • DVT-13614 Content Assist: Generate blocks do not appear as proposals when accessing a hierarchical signal

  • DVT-13920 Code Formatting: Wrong formatting of constraints inside randomize

  • DVT-14358 Show usages not reporting all hits in files included using a wrapper macro instead of `include

  • DVT-14368 Semantic highlight not working for files included using a wrapper macro instead of `include

  • DVT-14385 Fixed possible deadlock while typing new name in Rename Wizard

  • DVT-14392 Theme Engine: Diagrams background is not in sync with the UI theme

  • DVT-14395 Predefined projects: pvlog_uvm-1.2_ubus has no run on save run configuration

  • DVT-14396 Design Breadcrumb not hidden on Diagram Editor reuse

  • DVT-14406 Generating Refactoring Diagram might freeze the UI if it contains many side effects

  • DVT-14408 Back in Diagram Editors removes breadcrumb after changing the diagram type

20.1.3 (9 March 2020)

Enhancemenets

  • DVT-6040 Code Factory: Add support for interfaces

Bugfixes

  • DVT-14087 An empty window remains opened after performing a refactoring operation that asks for read-only files handling

  • DVT-14205 "Hide references to overridden" filter for method usages is not working properly when the method belongs to a parameterized class

  • DVT-14335 Grey code search potential match disappears after incremental build

  • DVT-14350 Rename Port Across the Design Hierarchy wizard shows wrong "potential matches found" warning after performing diff and diagram previews

  • DVT-14351 Rename Port Across the Design Hierarchy: Conflicts in multiple instances are not properly illustrated in the diagram preview

  • DVT-14359 Arrow character in Refactoring Diagrams is not always visible

  • DVT-14362 Extract module refactoring does not work in auto-linked files

  • DVT-14365 False warnings issued by SWT automatic configuration

20.1.2 (28 February 2020)

Enhancements

  • DVT-14161 Code Formatting: Preference to add new line after begin and before end

  • DVT-14163 Code Formatting: Preference to add new line after end

Bugfixes

  • DVT-14294 PVerilog: Search matches from g file hyperlink to the current active page

  • DVT-14300 Show Readers/Writers: Signal/port used in select and connected to output port is marked as read usage

  • DVT-14322 External Builders stopped working on Eclipse 4.11

  • DVT-14326 PVerilog: Jump to definition using F3 not working in p files

20.1.1 (21 February 2020)

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 19.1.# hotfix releases for early adopters.

Performance

  • DVT-10937 Design Hierarchy View: Improve performance and reduce memory footprint

Features

  • DVT-748 Refactoring extract selection to new module

  • DVT-2725 Ability to rename a port across the design hierarchy

  • DVT-13866 Automatically generate UVM Register Bitfield Diagrams

  • DVT-14041 PVerilog: Ability to use hyperlinks in preprocessed files

  • DVT-14284 Refactoring extract selection to new file

Enhancements

  • DVT-14286 Design Hierarchy View: Preserve tree scroll state after update

  • DVT-14288 Improved SWT automatic configuration to avoid unstable configurations

  • DVT-14289 Design Hierarchy View: Preserve tree selection after update

  • DVT-14290 Design Hierarchy View: Preserve tree expand state after update

  • DVT-14291 Design Hierarchy View: Do not preserve expand/collapse after update

  • DVT-14292 Design Hierarchy View: Ability to cancel view operations

  • DVT-14293 Design Hierarchy View: Preserve tree selection after clearing the quick search bar

Bugfixes

  • DVT-8834 Add __VAMS_ENABLE__ preprocessing macro only in ius.irun compatibility mode with -ams

  • DVT-12982 Wrong hierarchy path determined for signal used in generate block when the last breadcrumb segment is the generate

  • DVT-14176 Rename refactoring does not work for wildcard / implicit port connections whose instances are located in binds

  • DVT-14177 Search View: No scope info shown for matches inside generates

  • DVT-14180 Can't copy hierarchy path of a port when inside an instance

  • DVT-14181 When copying hierarchy in the Design Hierarchy Ports View, the path contains a dummy element

  • DVT-14234 UVM Components Diagram: The design block is not constructed properly when interfaces are typedefs with the same name

  • DVT-14236 Rename refactoring does not work for wildcard / implicit port connections of a recursive module instance

  • DVT-14239 Design Breadcrumb: Incomplete path when selecting an element from a Design Hierarchy not rooted in the design top

  • DVT-14246 DVT CLI: openCustomDialog command does not work without the optional -project argument

  • DVT-14263 Power Format: CPF set_instance command is not correctly resolved when changing the scope multiple times

  • DVT-14273 Parsing interrupted after macro definitions whose replacement string is an escaped id ending in newline

  • DVT-14281 Refactoring status context previewers break in various plug-in combinations

  • DVT-14287 StackOverflowError during semantic checking step on large environments

19.1.50 (7 February 2020)

Performance

  • DVT-14226 Improve performance for 'Jump to case branch' hyperlink

Enhancements

  • DVT-14041 PVerilog: Ability to use hyperlink features in p files

Bugfixes

  • DVT-14222 False warnings issued by SWT automatic configuration

  • DVT-14225 Eclipse 4.7 freezes when working with folders with large file-system hierarchies

  • DVT-14227 Refined tooltip comment rendering when using JavaDoc and Natural Docs

19.1.49 (5 February 2020)

Features

  • DVT-9652 & DVT-12167 Automatically detect and use the best GTK version and Browser engine combination on Linux

  • DVT-14183 Ability to render comments using JavaDoc and Natural Docs in tooltips

Enhancements

  • DVT-13719 Render JavaDoc @link and @see tags in tooltips

  • DVT-14216 Filter out stdout GTK, GLib, etc. warnings for distros based on Eclipse 4.11

Bugfixes

  • DVT-14215 SIGNAL_NEVER_USED error does not get removed at incremental build when entity and architecture are in different files

  • DVT-13720 Comments in tooltip should not contain extra new lines

  • DVT-14217 Force Adwaita theme when starting in GTK3 even when UI Light theme is used

  • DVT-14218 User confirmation not required when opening large files from tooltips

19.1.48 (29 January 2020)

Performance

  • DVT-14147 Prevent memory leaks when closing editors

  • DVT-14156 Optimized notification animations

  • DVT-14173 Prevent memory leaks when creating / deleting many files and folders

  • DVT-14191 Prevent memory leaks due to auto-build notification

  • DVT-14201 Improve hyperlink performance on element declarations

Enhancements

  • DVT-14131 Sort transitions in FSM Diagram table based on source order

  • DVT-14139 Add loopback edge in finite-state machine diagram for conditional assignment from current state to next state

  • DVT-14146 Update JRE in distro to Amazon Corretto 8u242

  • DVT-14157 Ignore DVT_LICENSE_FILE containing multiple hard-wired "FLEXLM" strings

Bugfixes

  • DVT-13786 Code Formatting: Disabled formatting regions contribute to vertical alignment formatting

  • DVT-13842 Override Methods Wizard: When "Show only virtual methods" is active, the hidden methods shouldn't be selected to be overridden

  • DVT-14132 Design Breadcrumb: Select Instance not working for generate elements

  • DVT-14135 Rename Refactoring should not work when trying to rename the port of an undeclared module inside an instantiation

  • DVT-14137 Verissimo: Right-click menu actions are disabled for the first row in failures table

  • DVT-14162 Code Formatting: Close parenthesis is not properly aligned with open parenthesis when using "Vertical align to open parenthesis"

  • DVT-14165 Code Formatting: Add whitespace after open parenthesis/curly should take precedence over "Vertical align to open parenthesis/curly"

  • DVT-14197 Text line is deleted when pressing ENTER after multi-line string followed by closed bracket

  • DVT-14199 Open Declaration hyperlink not working for unassigned create calls

19.1.47 (10 January 2020)

Performance

  • DVT-14128 Improve incremental build time

Enhancements

  • DVT-13623 Content Assist: Ability to insert function arguments as single/multi line

Bugfixes

  • DVT-14123 UVM Components Diagram: Virtual interface ports are not displayed if their type is a typedef

  • DVT-14126 Breadcrumb: No update when editor is reused (navigating through search matches or reaching the user defined maximum number of opened editors)

19.1.46 (6 January 2020)

Bugfixes

  • DVT-14117 A superfluous rebuild pop-up is issued after restore for projects without DVT Auto-linked files

19.1.45 (24 December 2019)

Bugfixes

  • DVT-14109 DVT Auto-linked files are broken after project restore

  • DVT-14110 Project description is not properly cleaned when using env vars in DVT Auto-Linked roots in conjunction with +dvt_auto_link_using_links+false

19.1.44 (20 December 2019)

Enhancements

  • DVT-12460 Incremental compile timeout should take into account the number of changed files

  • DVT-13622 Content Assist: Add preference to skip inserting arguments with default values

  • DVT-14097 DVT CLI: Add new openPerspective command

Bugfixes

  • DVT-11996 Content Assist: Camel case proposals are not properly sorted

  • DVT-13919 Refactoring Rename: Incremental compilation not started when refactoring inside unsaved files

  • DVT-14069 Content Assist: UI hangs if proposal contains more than 1000 characters

  • DVT-14088 Theme Engine: Searched element is not visible in dark themes

  • DVT-14089 Code Factory "Set Input" action not working with no selected text

  • DVT-14090 False ILLEGAL_TYPE_REFERENCE semantic error for bit select on undefined member used in an expression

  • DVT-14091 Design Breadcrumb: Missing breadcrumb in diagrams generated using "Show Diagram" on an instance type

  • DVT-14092 Theme Engine: UI and editor background not in sync by default

  • DVT-14095 Design Breadcrumb: Missing breadcrumb in diagrams generated from views context menu

  • DVT-14101 HDL Diagrams: Wrong diagram generated when using "Show Diagram" on a module declaration

19.1.43 (11 December 2019)

Features

  • DVT-14026 Ability to not serialize auto-linked file paths to .project using +dvt_auto_link_using_links+false build directive

Enhancements

  • DVT-13360 Scope Breadcrumb: Display enclosing code block

19.1.42 (6 December 2019)

Enhancements

  • DVT-10282 Build config: Add support for +sv directive in ius.irun mode

  • DVT-10311 Quick Fix: Update instance should preserve ports order

  • DVT-12680 Emacs auto commands should inject +dvt_setenv+ variables when executing emacs

  • DVT-13180 Add "constraint foreach" code template

  • DVT-13683 PVerilog: Direct mapping should auto-link p files which are not under the project

  • DVT-13992 Add a "generate" code template

  • DVT-14001 Ability to generate FSM diagrams from a struct member state variable

Bugfixes

  • DVT-8216 Quick Fix: Automatically declared variable of a parameterizable type uses the base class type instead of the specialized class type

  • DVT-13890 Don't allow launching a run configuration that contains ${dvt_dialog_prompt:non-blocking} with "Launch in background" unchecked

  • DVT-13929 When using Ctrl + hover over a macro that contains multiple identifiers, the actions should be done on the last identifier

  • DVT-14006 Inspect View doesn't show expansion of macro calls with no arguments

  • DVT-14032 Fixed "Synchronize UI theme color with editor background" checkbox in Themes preference page

  • DVT-14054 Inspect View shows incomplete definition of macro when selecting a macro call

  • DVT-14059 Non-SystemVerilog quick fixes stop working when Verissimo report is opened

  • DVT-14062 Design Breadcrumb: Hyperlinking on a port defined in the breadcrumb scope wrongly updates the input

19.1.41 (28 November 2019)

Enhancements

  • DVT-13796 Add support for `worklib, `noworklib and `nouselib in IUS compatibility mode

  • DVT-13958 Accept text selection with module/entity name for Code Factory Set Input

  • DVT-13961 Ability to set Code Factory input from any occurrence of the module/entity name

Bugfixes

  • DVT-13797 False error reported for 'potential' keyword

  • DVT-13840 Verissimo: Edit Waivers dialog replaces environment variables in paths

  • DVT-13939 Possible memory leak after delta computation in auto-build notification

  • DVT-13945 Sometimes the diagram generation hangs on MacOS due to a JVM bug

  • DVT-13953 No name collision detected when renaming an enum item with an already declared one

  • DVT-13960 Windows dvt.bat script expects DVT_LICENSE_FILE to be FLEXLM when using FlexLM license servers

  • DVT-13968 False NON_EXISTING_TYPE range semantic error in Unisim library after restore

  • DVT-13970 Verissimo: Report's background color should not change when using a dark editor theme

  • DVT-13971 Sometimes a NullPointerException is thrown when shutting down DVT

  • DVT-13974 Design Breadcrumb: Input should be validated before being set

  • DVT-13975 Trace Connections View is not cleaned when the project is closed

19.1.40 (12 November 2019)

Performance

  • DVT-13943 Improve memory footprint

Enhancements

  • DVT-13923 Improve wildcard pattern scanning by sorting paths

Bugfixes

  • DVT-12712 PVerilog Editor: The file input should be displayed in the p tab when no mapping is defined

  • DVT-13924 Fix USAGE_BEFORE_DECLARATION showing up when auto-configuring a project if both usage and declaration are in included files

  • DVT-13925 PVerilog Editor: NPE when trying to save a file which does not have an available mapping

  • DVT-13928 PVerilog Editor: $DVT_PVERILOG_G_FILE should not be set when the generated file is missing

19.1.39 (7 November 2019)

Performance

  • DVT-13912 Improve performance of incremental build when project contains many specialized class instances

Features

  • DVT-10838 Ability to show transitions table in finite-state machine diagrams

  • DVT-13911 Ability to switch between visible editor parts

Enhancements

  • DVT-13175 Pop-up important license related messages

Bugfixes

  • DVT-13773 Syntax Coloring: "@formatter: on/off" pragmas should be colored only when written in lowercase

  • DVT-13844 DVT hangs if project template contains a symlink to the parent directory

  • DVT-13896 Scope Breadcrumb: Copy Qualified Name right click action does not work

  • DVT-13897 Search View: Wrong highlight for type usages located inside macro calls

  • DVT-13901 "Add from Project Template" does not support symlinks

  • DVT-13902 Wrong rename operation for type usages located inside macro calls

  • DVT-13914 Autocomplete: Sometimes no proposals are reported when candidates contain both class and forward typedef

19.1.38 (31 October 2019)

Features

  • DVT-13813 Show hyperlink options of macro replacement for macro calls which expand to a single identifier

Enhancements

  • DVT-13746 Ability to process *.qip files using +dvt_autoconfig_force_quartus directive

  • DVT-13843 File compile timeout dialog should suggest using +dvt_skip_compile in conjunction with +dvt_prepend_init

  • DVT-13894 Improve order of content assist proposals

Bugfixes

  • DVT-12724 Build config: In ius.irun compatibility mode, trailing '+' characters of directives are trimmed

  • DVT-13852 Compile and lint waiver errors from included XML files are not displayed in a dialog when running Verissimo

  • DVT-13882 Breadcrumb: Fixed possible deadlock when saving a file with many dependencies

  • DVT-13887 PVerilog: Comment mappings persist after regex ceases to match

  • DVT-13891 Positional Tooltips are not working for macros used in global scope

  • DVT-13892 Compile waiver errors should be displayed in a dialog only when running Verissimo

19.1.37 (25 October 2019)

Performance

  • DVT-13863 Fix full build time degradation in projects with lots of `ifdef-inactive code

Enhancements

  • DVT-10594 Improve SystemVerilog auto-config scanning accuracy

  • DVT-13194 When Ctrl+Space is not set as Content Assist keyboard shortcut, display the active shortcut in a pop-up whenever opening a file

  • DVT-13376 WaveDrom: Ability to render embedded diagrams in tooltips

  • DVT-13798 Project Templates: Ability to specify waivers for specific parameter names / files that should not be scanned from the template directory

  • DVT-13855 WaveDrom: Added support for dark theme

Bugfixes

  • DVT-7275 Keyboard shortcuts stop working after pressing "Switch Editor Emulation Mode" (re-focus is required)

  • DVT-8302 In Emacs mode, Content Assist (Alt+/) is overridden by Word Completion

  • DVT-12264 Ctrl+C keyboard shortcut stops working in Eclipse mode after switching to Emacs mode and back

  • DVT-12665 Layers View: For constraints, class name is missing in scope column label

  • DVT-13095 After switching to Emacs mode, the Content Assist command gets duplicated in the Keys preference page

  • DVT-13835 Sometimes the UI is not showing up when starting in a used workspace due to SWTErrors thrown by the browser component

  • DVT-13846 PVerilog: A not found PFILE capturing group unmaps all the files mapped using comment mapping

  • DVT-13854 Ctrl+J incremental find keyboard shortcut not working

19.1.36 (21 October 2019)

Bugfixes

  • DVT-13837 Sometimes a BuildCancelException is thrown in the UI when the build is canceled

19.1.35 (18 October 2019)

Enhancements

  • DVT-13054 UVM Sequence Tree: Show call comments in addition to declaration comments

  • DVT-13826 Improve tooltip accuracy for members of specialized classes

  • DVT-13827 Improve Search View label accuracy when searching for usages of members of specialized classes

Bugfixes

  • DVT-13337 Search View label reports "Element references" when searching for library

  • DVT-13699 All refactoring operations should show a warning when matches are hidden under macro calls

  • DVT-13822 Error thrown while applying "Rename in file" quick assist proposal when renamed element has .* or implicit connection usages in other file

  • DVT-13823 Favorite Searches: No cross language matches found for SystemVerilog/VHDL elements

  • DVT-13828 "All specializations" usages filter should work for any class field/function, even if its datatype is not a type parameter

19.1.34 (11 October 2019)

REMOVED

  • DVT-13811 Removed support for old specador_preferences.xml versions (1 to 5)

Enhancements

  • DVT-13593 Ability to hide non-type-matching references when searching for fields or methods of type-parameterized classes

  • DVT-13802 WaveDrom: Ability to use comments inside JSON

  • DVT-13808 Specador: Wizard should serialize only user-changed preferences

Bugfixes

  • DVT-11489 Extract method should take into consideration the input/output/ref direction of methods called in the extracted region

  • DVT-13756 Settings Management: Specador project, user and common settings should be merged

  • DVT-13760 Rename Refactoring operation should not be available for 'this'

  • DVT-13801 WaveDrom: Inspect View should show an error when diagram is not generated

  • DVT-13803 NullPointerException sometimes thrown when opening the UVM Field Editor immediately after build

  • DVT-13809 Specador: "Select All" button not working in diagrams wizard page

19.1.33 (4 October 2019)

CLEANUP

  • DVT-13757 Specador: Renamed dvt_export_html.xml to specador_preferences.xml

Features

Enhancements

  • DVT-13037 Scope Breadcrumb: Add Open Type Hierarchy right-click menu action

  • DVT-13292 Code Formatting: Add a preference for disabling formatting lines threshold

  • DVT-13754 Scope Breadcrumb: Add right click menu actions for drop-down elements

  • DVT-13755 Specador: Add diagram preferences wizard page

  • DVT-13771 Do not trigger error for -cm_pragma build config directive

Bugfixes

  • DVT-13731 Build config: Trailing slash not removed from asymmetrically quoted strings (like \\"string\\")

  • DVT-13770 Build Auto-Config: On Windows OS, user-specified files get compiled twice when the build file uses Linux separators

  • DVT-13772 Build config: Infinite loop when using -xlrm with incorrect compatibility mode

  • DVT-13777 Rename refactoring on a virtual function/task argument corrupts the name of the other function/task arguments

  • DVT-13787 Scope Breadcrumb: Switching to an element from another library/package wrongly updates the source breadcrumb

  • DVT-13794 Editor syntax coloring and line number are sometimes broken after using toggle block comment action

19.1.32 (20 September 2019)

Enhancements

  • DVT-13478 Quartus auto-config should print to the build console *.ip files referenced from *.qip files

  • DVT-13564 Ability to build recent changes from the "auto-build turned off" notification

  • DVT-13583 Do not trigger inter-file USAGE_BEFORE_DECLARATION errors when using build auto-configuration or wildcards

  • DVT-13611 Highlight inactive code in compare viewers (grayed out background)

  • DVT-13678 Add non-standard support for "self"-registering interface pattern

  • DVT-13704 Ability to manually specify *.qip files for the Quartus auto-config using +dvt_autoconfig_quartus_qip+<some_file>.qip

  • DVT-13753 Ability to specify scope of USAGE_BEFORE_DECLARATION checks using +dvt_usage_before_declaration_check+NONE|ALL|INVOCATION|FILE

Bugfixes

  • DVT-13567 ViPlugin: Trigger notification when editing read-only files

  • DVT-13577 PVerilog: "Show Quick Views Notification" not displayed when maximizing the editor

  • DVT-13644 Quartus auto-config does not handle correctly white-spaces in the compilation root

  • DVT-13697 Run Configuration shortcut image background is white if "-options disable_cairo" is set

  • DVT-13706 Jump to assignment breaks consistency of editor breadcrumb

  • DVT-13709 Semantic Search: No cross language matches found for SystemVerilog/VHDL elements

  • DVT-13711 PVerilog: "Run on save" is triggered when saving the generated file when swapping left/right in the compare editor

  • DVT-13712 Run Configuration shortcut image is not properly created on Windows

  • DVT-13729 False syntactic error for macro call that starts with `include

  • DVT-13736 Trace Connections View sometimes throws exceptions when opened from minimized state

  • DVT-13747 "Auto-build turned off" notification is not properly displayed in split mode PVerilog Editors

  • DVT-13752 Debugger integration fails with "connection timeout"

19.1.31 (5 September 2019)

Enhancements

  • DVT-8535 Build Auto-Config: Ability to specify multiple scan roots

  • DVT-13044 Add support for -setenv build configuration directive

  • DVT-13664 PVerilog: Saving a file should reflect in saving both the p and the g tabs

  • DVT-13698 "Show build log file content" console action should provide more details when the log file is not available

Bugfixes

  • DVT-12435 PVerilog: Comment mappings cannot be removed

  • DVT-13498 False SELECT_NOT_ALLOWED semantic error when a multidimensional instance array is accessed

  • DVT-13662 No error triggered for automatic access in static function inside a begin...end block

  • DVT-13667 Folding: Toggle current line is not working for user defined folding region

  • DVT-13689 Support symlinks in Project Templates

  • DVT-13714 Fixed missing API for dvt_build.sh custom report generator

19.1.30 (29 August 2019)

Performance

  • DVT-13666 Improved Inspect View update time in diagrams for large files

Features

  • DVT-10979 Hyperlink should check file size and not open / warn if it is too big

  • DVT-13485 Ask for user confirmation when trying to open large files

Enhancements

  • DVT-7442 Ability to quickly open a file from the same folder with the current editor

  • DVT-9178 Build Auto-Config: Take into account package imports when computing the compile order

  • DVT-10775 Improve order and number of proposals for the create file quick fix on an `include

  • DVT-11260 Ability to generate custom dvt_build.sh report

  • DVT-12872 Verissimo: Ability to copy the contents of the checks description dialog

  • DVT-13640 Trigger semantic warning for different enum type variables in relational operators (<,>)

  • DVT-13663 Breadcrumb: Add preference to disable notifications

  • DVT-13671 Breadcrumb: Notifications should be displayed only when opening a file

  • DVT-13682 Trigger IMPLICIT_ENUM_CAST warning between different enum type variables in equality operators

  • DVT-13691 Add Port/Parameter Quick Fix: Add ability to change the port/parameter datatype and width

  • DVT-13692 Add Parameter/Generic Quick Fix: Add ability to change the parameter/generic default value

Bugfixes

  • DVT-12720 False ILLEGAL_ASSIGNMENT errors reported in the initialization of an unpacked realtime array with a concatenation of time constants

  • DVT-13358 Quick Fix Proposals not working in PVerilog Editor

  • DVT-13472 Verissimo: Checks description dialog should be non-blocking

  • DVT-13665 False UNDECLARED_IDENTIFIER semantic error when accessing an assertion defined inside a function

  • DVT-13670 Background highlighting does not work in PVerilog editor

  • DVT-13673 Syntax Coloring: Highlight does not work properly in p file in PVerilog editor

  • DVT-13675 Build Auto-Config is broken when specifying +dvt_init_auto with additional top files outside the active build config file (using -f)

  • DVT-13681 Mixed language rename refactoring not working when triggered on VHDL element name from SV editor and vice-versa

  • DVT-13684 Show Constraints: rand_mode() and constraint_mode() hits located inside macro calls are not reported

  • DVT-13688 Mixed language rename refactoring misses replacement of VHDL element names in SV macro calls

  • DVT-13690 Add Port/Parameter Wizard: Port/Parameter name field should be read only when triggered from quick fix

19.1.29 (20 August 2019)

Enhancements

  • DVT-13655 Force Adwaita theme when starting in GTK3

  • DVT-13656 Set default colors for notifications, breadcrumb, filter boxes and tooltip

Bugfixes

  • DVT-13652 Unable to generate diagrams from the editor's context menu

  • DVT-13653 UI slow-down when displaying huge flat trees with shallow depth

  • DVT-13659 Breadcrumb: Updates should be triggered only when the input changes

  • DVT-13660 Design Breadcrumb: Wrong computation for other instances of the current element

19.1.28 (14 August 2019)

Features

  • DVT-13597 Ability to specify editor associations using +dvt_editor_association_override build config directive

Bugfixes

  • DVT-10519 Build Auto-Config: Autoconfig does not handle correctly whitespace in potential incdir directory names

  • DVT-12101 Build Auto-Config: Should not infer tops which are already specified within the +dvt_init_auto section

  • DVT-12536 'Unimplemented pure virtual functions' error not reported for parameterized classes

  • DVT-12994 Build Auto-Config: Avoid duplication of compiled tops in mixed-lang projects

  • DVT-13011 Build Auto-Config: Compilation ignores other files specified in default.build if no file is found during file-system scan

  • DVT-13391 Build Auto-Config: Auto-config from Xilinx project does not elaborate all tops specified in the xpr file

  • DVT-13459 False PORT_CONNECTION semantic error when input port is read in order to determine output part select

  • DVT-13529 Rename refactoring not working for default array iterator

  • DVT-13586 Show warnings promoted to error in the Console View build log

  • DVT-13603 No hyperlink/tooltip for struct member inside assignment pattern when assigned variable's type is a type parameter

  • DVT-13607 Trigger DUPLICATE_IDENTIFIER error for a net with the same name as a port in an ANSI port declaration module

  • DVT-13612 False name collision reported when renaming a port of an instantiation to the name of an enclosing module signal

  • DVT-13617 Call stack is not available when debugging with certain Questa versions

  • DVT-13620 Syntax Coloring: Highlight does not work for files outside project

  • DVT-13637 False ILLEGAL_ASSIGNMENT semantic error for a fixed sized array whose range is a parameter accessed through a type parameter

  • DVT-13638 False UNDEFINED_OPERATOR semantic error for unpacked concatenation used to initialize a queue of parameter type

  • DVT-13645 Wrong rename refactoring on clocking block declaration

19.1.27 (2 August 2019)

Enhancements

  • DVT-11250 & DVT-12413 Report XML errors for compile waivers, Verissimo waivers and ruleset files

  • DVT-13001 Verissimo: Report errors for non-existing ruleset or waiver files

Bugfixes

  • DVT-9287 Suppress irun.history file generation when irun is invoked at startup

  • DVT-11956 & DVT-13595 Settings Management: Keyboard shortcut deletion is not handled correctly

  • DVT-12749 Design Breadcrumb: Wrong breadcrumb shown when switching projects

  • DVT-12909 Verification Breadcrumb: Wrong breadcrumb shown when changing a class name

  • DVT-13585 False syntactic error when using highz0 or highz1 as pullup or pulldown strength

  • DVT-13589 Breadcrumb: Resizing editor while breadcrumb is read-only forces a refresh

  • DVT-13590 Breadcrumb: Icons change their vertical position when resizing the editor

  • DVT-13592 Code Factory: "Create From Template" does not work in Windows

19.1.26 (26 July 2019)

Performance

  • DVT-12457 Improved Breadcrumb Navigation Bar performance

  • DVT-13579 Custom Pragmas: Improved validation performance during build

Features

  • DVT-13359 Ability to create favorite searches

  • DVT-13569 Ability to see all force assignments

Enhancements

  • DVT-11261 Ability to see to how many problems a compile waiver was applied in the dvt_build.log file

  • DVT-12069 Ability to specify the icon path of a Run Configuration relative to the path of the .launch file

Bugfixes

  • DVT-13138 No search matches reported when searching for predefined method "rand_mode"

  • DVT-13509 PVerilog: Links to p files open the g tab instead

  • DVT-13510 Wrong rename operation for instance declared on multiple lines

  • DVT-13559 Do not throw USAGE_BEFORE_DECLARATION errors in non-top-files

  • DVT-13560 Wrong tracking of line numbers for errors and inactive code highlight in code sections following `pragma protect begin_protected ... end_protected

  • DVT-13565 No matches are reported when searching for references of system tasks using semantic search dialog

  • DVT-13568 References to predefined API don't work in other projects than the last one built

  • DVT-13584 Run configuration's generated icons contain graphical artifacts on newer JREs

19.1.25 (19 July 2019)

Enhancements

  • DVT-9747 Use XVM_DEFAULT flag as default field operation in XVM Field Editor

  • DVT-11760 Show a visual indicator in the UI when automatic build for a project is turned off

  • DVT-13543 Ability to set the default operations and radix for XVM Field Editor

Bugfixes

  • DVT-13516 OVERRIDE_VIRTUAL_METHOD errors are not reported in overriding methods defined in other files when changing the overridden virtual method signature

  • DVT-13550 Do not throw USAGE_BEFORE_DECLARATION error for auto-configured files

  • DVT-13551 False DUPLICATE_NAME error when extern constrains are declared inside inner classes

  • DVT-13561 Console filters stopped working on Eclipse 4.11 due to API change

19.1.24 (11 July 2019)

Features

  • DVT-9431 Verissimo: Show the number of waived failures in the waivers tab

  • DVT-13444 DVT CLI: Add new rebuildProject command

Enhancements

  • DVT-11537 DVT CLI: openFile command should support multiple file arguments

  • DVT-12553 Add a link in diagram editor preferences dialog to open workspace diagram preferences

  • DVT-13004 CDT Integration: Do not show anonymous elements in the Types View for typedef'd structs/enums/unions

  • DVT-13354 Ability to hide "User Operation is waiting" dialog (when saving a file during build/restore)

  • DVT-13460 Ability to jump from implicit return variable to the function declaration

  • DVT-13467 Improve accuracy of hyperlinks and tooltips

  • DVT-13481 Diagrams: Display the number of edges and nodes created until the diagram generation fails

  • DVT-13484 Ability to show build auto-config scan progress in the Console View using +dvt_autoconfig_debug+SCAN

  • DVT-13536 Firstly propose modules that match the exact prefix in auto-instance proposals list

Bugfixes

  • DVT-9748 Missing hyperlinks for array default iterator variable

  • DVT-10993 'Open implementation' hyperlink missing for extern pre_/post_randomize

  • DVT-12847 DVT CLI: Invoking the dvt_cli.sh script right after closing DVT causes "did not respond to probe" errors

  • DVT-12931 Open declaration of assignment pattern name incorrectly jumps to struct member with the same name instead of local variable

  • DVT-12932 No hyperlink for left-hand side of named constructor argument binding

  • DVT-13497 UVM Components Diagram: Connections with the design are not shown when virtual interface is declared in an ancestor class

  • DVT-13515 False ILLEGAL_TYPE_REFERENCE semantic error for an instance with same name as the interface type in a bind port connection

  • DVT-13521 ConcurentModificationException when computing variables associated types

  • DVT-13522 When semantic checks timeout pop-up notification is triggered, subsequent semantic checks are interrupted, even if cancel button is not pressed

  • DVT-13526 Wrong tooltip for predefined "event.triggered" in event control statements

  • DVT-13527 Wrong "Open declaration" hyperlink proposal for implicit constructor usage

  • DVT-13528 Wrong semantic highlight for an instance with same name as the interface type in a bind port connection

  • DVT-13530 Multiple levels nested macros are not expanded correctly when using `` to append lexical tokens

19.1.23 (4 July 2019)

Performance

  • DVT-13406 CDT Integration: Reduce the number of Codan checks enabled by default

  • DVT-13489 CDT Integration: Improve navigation performance in large C/C++ files when in scalability mode (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

Features

  • DVT-13420 CDT Integration: New C/C++ Extended perspective

Enhancements

  • DVT-10416 CDT Integration: Ability to set the CDT file types using the +dvt_cdt_file_type_map build configuration directive

  • DVT-12558 CDT Integration: Add IEE std. sv_vpi_user.h, svdpi.h, vpi_compatibility.h, vpi_user.h to DVT predefined libs and use them in +dvt_init+dvt

  • DVT-13114 CDT Integration: Automatically set CDT file types for all source and header files detected in the scanning phase of a C/C++ project

  • DVT-13150 Ability to use random colors in diagram filters

  • DVT-13426 CDT Integration: Ability to specify when CDT Codan should run using +dvt_run_codan+FULL+INCR

Bugfixes

  • DVT-6182 Hyperlink to port definition is broken when module name in module instantiation is a macro call

  • DVT-9241 CDT Integration: Hyperlink to #included file should always open the C Editor

  • DVT-13352 For indirectly imported types, autocomplete uselessly prepends package prefix

  • DVT-13405 CDT Integration: Disable all CDT Codan launch triggers by default

  • DVT-13414 CDT Integration: Non-top C/C++ files are not excluded from indexing during the first build after project creation

  • DVT-13453 Tooltip for system tasks' format specifiers doesn't work when the system task is used as an argument to a method call

  • DVT-13483 No jump to assignment when the only assignment is from an hierarchical element up the design hierarchy

  • DVT-13491 False infinite recursion nodes in Type Hierarchy View when class is extending parameter

  • DVT-13504 Paging through opened editors gets stuck in DVT build configuration editors

  • DVT-13505 ViPlugin: Fixed ExecutionException on Eclipse 4.11

19.1.22 (1 July 2019)

REMOVED

  • DVT-13473 Verissimo: Removed the ability to add user notes

Enhancements

  • DVT-7601 Verissimo: Failures table scroll position doesn't update when the content changes

  • DVT-7602 Verissimo: Failures table cannot be scrolled horizontally

  • DVT-13227 Verissimo: Waiver dialog generates invalid inline waiver when the line contains comments

  • DVT-13409 Ability to customize GTK2 theme font in the new DVT Theme Engine

  • DVT-13482 Ability to customize all editor fonts in the new DVT Theme Engine

Bugfixes

  • DVT-13184 NotHandledException thrown when double clicking on commands from Quick Access bar

  • DVT-13457 Custom Pragmas preference page is unreadable in dark themes

  • DVT-13461 False SELECT_NOT_ALLOWED semantic error for select on enum type with implicit type int

  • DVT-13469 False NOT_IMPLEMENTED_PURE_VIRTUAL semantic errors in large environments

  • DVT-13470 False UNDECLARED_IDENTIFIER semantic check on associative array when index is a class parameter

  • DVT-13471 False error triggered for identifier redeclared in a lower scope

19.1.21 (21 June 2019)

Performance

  • DVT-13425 Syntax Coloring: Syntax and semantic highlighting performance improvement

Enhancements

  • DVT-12835 Add support for jumping outside brackets

  • DVT-13416 Improve New Project Wizard look & feel

Bugfixes

  • DVT-9490 METHOD_CALL_ARGUMENTS errors are not cleaned at incremental for a method call in a different file

  • DVT-11785 Sometimes numeric macro values are not correctly displayed in the Inspect View

  • DVT-12965 CDT Integration: Ability to add C/C++ natures from New DVT Project Wizard

  • DVT-13014 Verification Breadcrumb: Navigating using breadcrumb in diagram editors generates wrong diagram for multiple layered elements

  • DVT-13113 CDT Integration: CDT GCC Built-in Compiler Settings command is not expanded correctly

  • DVT-13400 All implicit function result usages are decorated as writers

  • DVT-13407 DVT CLI: The "-include auto" flag should not hide project settings

  • DVT-13410 Design Breadcrumb: Back after a Show Connections Between action in Diagram Editors removes breadcrumb

  • DVT-13419 CDT Integration: C/C++ files are still indexed after being removed from default.build

  • DVT-13424 CDT Integration: Sometimes Codan errors are not cleared by a full build

  • DVT-13427 Search View is not populated when "Pin the Search View" option is enabled

  • DVT-13430 Open declaration hyperlink on bin identifier jumps incorrectly to its enclosing coverpoint

  • DVT-13434 PVerilog "gen" files are sometimes partially colored semantically

  • DVT-13436 Custom Pragmas: Selected color is not used in the editor

  • DVT-13448 Design Breadcrumb: Open Instance action should not be available on root elements

19.1.20 (7 June 2019)

Features

Enhancements

  • DVT-13045 Show implicit enum item values in the Inspect View

  • DVT-13264 Code Formatting: Ability to remove new line before/after specified tokens

  • DVT-13298 Add content assist proposals for $root name

  • DVT-13313 Add support for NON-STANDARD final block inside package

  • DVT-13396 Trigger semantic error when element accessed from $root is not a top module

Bugfixes

  • DVT-13329 Design Diagrams: Connections between two instances are sometimes not collapsed in the flow diagram

  • DVT-13326 Instance is not bound when target instance path starts with $root

19.1.19 (4 June 2019)

Bugfixes

  • DVT-13389 DVT CLI issues "Unknown command" for all commands except createProject, openFile, compareFiles

19.1.18 (31 May 2019)

Features

  • DVT-7198 & DVT-11685 New DVT Theme Engine for editors and widgets based on Eclipse Color Themes plugin

  • DVT-8271 Add Theme Engine support for Python (PyDev plugin)

  • DVT-9705 Add preference to invert diagram colors

  • DVT-10084 Add Theme Engine support for LUA (LDT plugin)

  • DVT-12001 Add Theme Engine support for TCL (TCL DLTK plugin)

  • DVT-12541 Add Desert theme to Theme Engine

  • DVT-13127 Add diff command to DVT CLI

Enhancements

  • DVT-13328 Add support for non-standard $cds_analog* & $cgav system tasks

Bugfixes

  • DVT-11048 Do not restore when using CLI createProject command

  • DVT-11521 Relative paths inside makelib sections in files loaded using -F are not solved correctly

  • DVT-11640 Disable default Eclipse Font Zoom commands for Eclipse 4.6 (Neon) and newer

  • DVT-13060 Black on white background in Console View with Dark Theme when running a run configuration

  • DVT-13206 Add separated color preferences for notifications and filter boxes

  • DVT-13289 Incremental compilation: No error thrown for class parameter used in named parameter association when changing its name

  • DVT-13314 No class parameters usages reported in named parameter association of class instantiation

  • DVT-13315 Syntax Coloring: Previewer in Preference Page does not get updated properly on Windows

  • DVT-13317 Search for references: wrong parameterized module declaration marked as usage when triggering search from instance module type

  • DVT-13318 In ius.irun compatibility mode -cds_implicit_tmp_dir, -parseinfo, -bbox_create, -bbox_link directives are generating errors

  • DVT-13330 Elements in Trace Connections View turn black (unreadable in Dark Themes) when selecting "Show Path" for multiple ports

  • DVT-13332 Search for instances: programs/interfaces instances are not reported in VHDL code

  • DVT-13336 Rename refactoring a modport port declaration doesn't change the interface signal name

  • DVT-13338 PVerilog: Scrollbar not in sync with the editor input

19.1.17 (16 May 2019)

Enhancements

  • DVT-10683 Design Diagrams: Add keyboard shortcut for Open Declaration context menu action (F3)

Bugfixes

  • DVT-13297 UML Diagrams: Avoid useless bends in association edges when they have no label

  • DVT-13304 False ILLEGAL_PARAMETER_OVERRIDE semantic error when field of parameterized type has the same name as the type parameter value

19.1.15 (9 May 2019)

Enhancements

  • DVT-12745 Add new external tools variable ${dvt_path_to_signal_under_cursor}

Bugfixes

  • DVT-1444 Node locked license doesn't work when the bound network interface is down (fix for Linux)

  • DVT-12828 Autocomplete: Right bracket is not added after while

  • DVT-12852 Wrong tooltip for coverpoint label when there is another element with the same name in the current scope

  • DVT-13089 Custom Pragmas: Pragmas with first word bounded by non-alphanumeric characters are not collected properly

  • DVT-13231 Wrong tooltip on covergroup parameter usage when there is a coverpoint with the same name defined in the same scope

  • DVT-13261 Verification Breadcrumb: Breadcrumb is not hidden when switching from UVM Components Diagram to UML Diagram

  • DVT-13271 False SELECT_NOT_ALLOWED error for enum type variable select after a number of rebuild operations

  • DVT-13272 False ILLEGAL_PARAMETER_OVERRIDE when virtual interface type is named the same as an interface port

  • DVT-13277 Wrong tooltip on coverpoint usage inside covercross when its enclosing covergroup has a parameter with the same name

  • DVT-13280 False UNDECLARED_IDENTIFIER semantic error for argument value in bound instance parameter override

  • DVT-13287 Fixed the support for directory license sources to scan for license files (*.lic)

  • DVT-13288 Sometimes an exception is thrown when a deleted file is still open in the editor

19.1.14 (6 May 2019)

Bugfixes

  • DVT-13275 Scripts warn about "unset GTK_IM_MODULE=1 not a valid identifier"

  • DVT-13276 SVN and GIT plugins can't be installed using dvt_kit_installer.sh inside Eclipse 4.11 distros

19.1.13 (25 April 2019)

REMOVED

  • DVT-13259 Removed 32 bit Linux and Windows distros

Features

  • DVT-13230 Add new distros based on Eclipse 4.11

Enhancements

  • DVT-13224 Trigger semantic warning for always block using @(*) that is never evaluated (contains assignments to constants)

  • DVT-13232 Design Breadcrumb: Open Declaration hyperlink on a port should correctly track the instance path

Bugfixes

  • DVT-8753 Wrong jump to assignment to input port connections instead of assignment

  • DVT-13096 Wrong jump to assignment to inactive code

  • DVT-13225 `"\`macro_name(param)`" leads to false syntax errors because `macro_name(param) is replaced although it should not be

  • DVT-13233 False SELECT_NOT_ALLOWED semantic error for select on packed struct array

  • DVT-13234 False SELECT_NOT_ALLOWED semantic error for select on parameter type

  • DVT-13238 False UNDECLARED_IDENTIFIER semantic error when the definition of the identifier and the usage are in different invocations

  • DVT-13257 Fixed sporadic JVM crashes appearing when files were changed outside DVT while being parsed

  • DVT-13258 Sometimes Call Hierarchy View does not refresh

19.1.12 (19 April 2019)

Performance

  • DVT-12383 Compare Viewer is very slow on huge files

Bugfixes

  • DVT-13147 Trigger error when incorrectly accessing a interface member without a select from an array of instances

  • DVT-13204 Inline comment not shown in tooltip for elements declared above macro call

  • DVT-13207 Design Breadcrumb: Computation forces Design Hierarchy View opening

  • DVT-13208 Incorrect WIDTH_MISMATCH error message in output port connection

  • DVT-13210 Trace operation not working for signal inside generate block after incremental build in some cases

  • DVT-13214 False SELECT_NOT_ALLOWED semantic error for enum value of array type

19.1.11 (16 April 2019)

Enhancements

  • DVT-8317 Auto-config should not list as missing those macros used only as `ifdef controls

  • DVT-12987 Auto-config should treat `ifdef satisfiability with a lower priority vs package imports

  • DVT-13199 Auto-config should output a list of all macros used as `ifdef controls

Bugfixes

  • DVT-13132 False USAGE_BEFORE_DECLARATION reported for types used in parameterization when a variable with same name is declared later in the scope

  • DVT-13178 Rename Refactoring: Wrong package usage reported when renaming a port with the same name

  • DVT-13195 Syntax Coloring: NullPointerException thrown when creating a file using "Create File" Quick Fix

  • DVT-13205 Fixed semantic checks performance degradation

19.1.10 (11 April 2019)

Enhancements

  • DVT-11867 Semantic Folding: Ability to fold assertions

Bugfixes

  • DVT-9309 False EXTERN_METHOD_SIGNATURE warning when return type contains parameter from within the enclosing class

  • DVT-9766 False incremental build errors for instances whose types are specified in a configuration file

  • DVT-13189 Syntax Coloring: Assert label usages are not colored as labels

  • DVT-13191 StackOverflowException thrown when dictionary is saved after full build in projects with many `included files

19.1.9 (5 April 2019)

Performance

  • DVT-13153 Semantic check timeout during full build due to large concatenation expression

Enhancements

  • DVT-12208 Code formatting: Ability to not increase the indent level for assert, assume, cover

  • DVT-13056 Inspect View: Show numerical values in multiple bases for macros defined in macros

  • DVT-13108 CDT Integration: Add predefined content filters for C/C++ system headers, PSS C headers and SystemC headers

  • DVT-13109 Add +dvt_skip_compile support for C/C++

  • DVT-13124 Report an error when using select[] on a non-array variable

  • DVT-13151 Custom Dialogs: <dvt:Container> enabler attribute should support Combo and Text widgets

  • DVT-13155 CDT Integration: +dvt_systemc and +dvt_pss_cpp directive should only add the library include dirs but not the tops

Bugfixes

  • DVT-11696 False UNDECLARED_IDENTIFIER for named delay control statement

  • DVT-11961 No error thrown for duplicate block identifier

  • DVT-12825 Search View: Label always shows 0 matches when inspecting a previous search result from search history

  • DVT-13087 Search View: When inspecting previous search results, the active filters are not working

  • DVT-13119 Search View: Wrong number of matches are reported in file labels when content/category/quick search filters are applied

  • DVT-13120 Inspect View: No number information for macros if expansion contains non-numerical characters

  • DVT-13121 Inspect View: Sometimes macro calls with no parameters are shown with call and expansion

  • DVT-13123 Macro expansion fails when name of a macro with parameters is passed as argument to another macro

  • DVT-13165 Syntax Coloring: Labels for named blocks are not correctly colored

  • DVT-13171 False ILLEGAL_EMPTY_RETURN semantic error when export DPI function is declared

19.1.8 (28 March 2019)

Performance

  • DVT-13125 Do not show source in Inspect View for files greater than a size limit

  • DVT-13126 Improve incremental build time after removal of some unnecessary recompiled dependencies

Enhancements

  • DVT-13071 Add support for non-standard covergroup.type_option.real_interval

  • DVT-13084 Add non-standard semantic warning for select after function call

  • DVT-13091 Breadcrumb: Focus first element which matches the search filtering

  • DVT-13116 Ambiguous NON_EXISTING_TYPE semantic error on forward typedef declaration when the type is not implemented

Bugfixes

  • DVT-13086 False WIDTH_MISMATCH_ROUNDING semantic warning when assigning a real to a wreal signal

  • DVT-13115 No search matches reported for methods, variables and parameters usages in import/export clauses

  • DVT-13128 Build config: UVM reuse mechanism does not take into account the Questa UVM additions

  • DVT-13129 False SENSITIVITY_UNUSED semantic warning when signal is used in case item expression

  • DVT-13136 ArrayIndexOutOfBounds thrown by DLTK plugins when collecting task tags (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

  • DVT-13141 Breadcrumb: Special characters should not be appended in quick search bar

19.1.6 (22 March 2019)

Performance

  • DVT-13070 Syntax Coloring: Improving Semantic Coloring performance for big files

Enhancements

  • DVT-5651 Semantic Check: Type checking of variable = uvm_object_child::type_id::create()

  • DVT-12964 DVT CLI: Ability to get the path of the currently edited file

  • DVT-12970 ViPlugin: Ability to see the total number of matches when performing a search

  • DVT-13041 Breadcrumb: Ability to filter elements while navigating in the dropdown children list

  • DVT-13042 Content Filters should hide simulator specific UVM library elements

  • DVT-13065 Disable "Show most recently used tabs on overflow" preference in DVT distros

  • DVT-13079 Prompt to refresh files which are out of sync when searching for instances

  • DVT-13083 Trace Connections: Ability to view sources and destinations as a list

Bugfixes

  • DVT-11590 Trigger semantic error for the same identifier imported from 2 different packages

  • DVT-12774 Selecting a port in Design Hierarchy should jump to the connection port

  • DVT-12953 Search for instances of design element: No hits reported for array of instances

  • DVT-13040 False USAGE_BEFORE_DECLARATION semantic errors in Questa compatibility mode (questa_uvm_pkg must be compiled after uvm_pkg)

  • DVT-13051 No search hits reported when inspecting previous "search for instances" results in search history

  • DVT-13052 False ILLEGAL_EXPRESSION semantic errors for $begin_transaction and $create_transaction_stream

  • DVT-13058 Support for #(...) named parameter assignments in config use clause

  • DVT-13072 Add support for end line anchor "$" in Console Filters

  • DVT-13078 Custom defined console filter pattern displays wrong hyperlink position for indented message

  • DVT-13080 No search matches reported for out-of-sync files

  • DVT-13085 Show only files with errors in Compile Order view is not working for C/C++

19.1.5 (14 March 2019)

Performance

  • DVT-9482 Improved tooltip and hyperlink speed in files with many macro calls

  • DVT-13006 File using recursive define `DEF_200000 (`define DEF_1 1+`DEF_0, `define DEF_2 1+`DEF_1, ...) parses very slow

Features

  • DVT-13028 Add new distros based on Eclipse 4.9

Enhancements

  • DVT-2070 Ability to Show Hierarchy (F4) on variable type

  • DVT-11534 Add ${selected_resource_line} variable to launch configurations

  • DVT-12494 Search View label should show the full name of the searched element

  • DVT-13030 CDT Integration: Ability to set and run DVT external builders on C/C++ only projects

  • DVT-13032 Project Templates: Add "year" predefined parameter

Bugfixes

  • DVT-9438 False EXTEND_CLASS_NOT_FOUND error when class enclosing package is imported in another package

  • DVT-12802 Copy Hierarchy Path doesn't append the top file in the path

  • DVT-12913 Verification Breadcrumb: Select Instance in diagram editors should update the diagram

  • DVT-12971 Process connections through variables do not appear in schematic diagrams

  • DVT-12993 CDT Integration: Content Filters not working for element-text and for element-type=LINKAGE

  • DVT-13009 Search matches inside macro calls without parameters highlight the entire line instead of the macro call

  • DVT-13012 Verification Breadcrumb: Incomplete other instances computation

  • DVT-13016 Design Diagrams: "Show Connections Between" does not work between instance and internal gate

  • DVT-13026 UVM predefined Console Filters do not match instances containing single quotes

  • DVT-13027 False ILLEGAL_CONSTANT_ASSIGN semantic error for repeat range in transition list

  • DVT-13029 Quick Fix: Infinite loop when line ends with a semicolon defined through a macro when using Remove Unused Signal

  • DVT-13031 False USAGE_BEFORE_DECLARATION semantic error on enum/struct type parameter declaration

19.1.4 (8 March 2019)

Enhancements

  • DVT-12903 Breadcrumb: Use down arrow to access dropdown children list

  • DVT-12923 CDT Integration: Add support for cflags with whitespace separator (like -D NAME=value)

  • DVT-12972 DVT CLI: For the createProject command, demote non-existing -lang error to warning

  • DVT-12990 Trigger error for incorrect hierarchical access of struct type member from struct type name

  • DVT-12996 Preference to disable compare viewer in PVerilog editor

Bugfixes

  • DVT-9660 Package compilation order errors not detected

  • DVT-10288 Build config: -defineall directive does not define C preprocessing symbols

  • DVT-10816 Incorrect range specifier "downto"/"to" when instantiating an entity inside a module

  • DVT-12385 Breadcrumb: Drop-down menu search description is not visible

  • DVT-12669 Design diagrams Inspect view should show all aspects of a port declaration (direction, datatype, nettype)

  • DVT-12727 Build config: UVM reuse mechanism does not take into account the CDNS UVM additions

  • DVT-12728 Build config: UVM should be compiled first in ius.irun mode when -uvm is used in conjunction with -makelib

  • DVT-12911 Breadcrumb: Display tooltip for root elements

  • DVT-12962 False USAGE_BEFORE_DECLARATION semantic error reported when "assert property (<name>)" used before "property <name>" declaration

  • DVT-12966 CDT Integration: Sometimes source paths extracted from .so files contain are based in the build directory instead of the actual location

  • DVT-12967 False usage before declaration errors reported when using -uvm and -makelib build configuration flags

  • DVT-12973 Build config: Ability to specify mappings for file extensions containing the dot '.' character

  • DVT-12974 False USAGE_BEFORE_DECLARATION semantic error when forward class type definition resolves to a typedef

  • DVT-12980 CDT Integration: Compile Order View is not populated after enabling C/C++ Extended Language for a pre-19.1.1 mixed SV+C project

  • DVT-12983 False USAGE_BEFORE_DECLARATION semantic error when bind module instance

  • DVT-12984 False USAGE_BEFORE_DECLARATION semantic error on assignment of hierarchical element

  • DVT-12985 Sometimes the Undo operation never ends if the modified file contains configurations

  • DVT-12988 False USAGE_BEFORE_DECLARATION semantic error in a file compiled multiple times in multiple libraries

  • DVT-12989 False ILLEGAL_ASSIGNMENT semantic error when assigning constant select to value parameter

  • DVT-12991 Incremental `include of a file guarded by `ifndef is not working as expected (the content is grayed out)

  • DVT-12992 No ILLEGAL_ASSIGNMENT error triggered at incremental build for incorrect module parameter override when the instance is under a generate block

  • DVT-12995 ViPlugin: Visual-line-mode edit operations should not be allowed on read-only files

  • DVT-12997 Breadcrumb: Toggle button takes effect only on visible editors

  • DVT-12999 False USAGE_BEFORE_DECLARATION semantic error on modport type field declaration

  • DVT-13000 In ius.irun mode -pkgsearch worklib should be equivalent with -pkgsearch work

19.1.3 (4 March 2019)

Enhancements

  • DVT-12827 ViPlugin: Add support for 'G' command to move to the endline while in visual block mode

Bugfixes

  • DVT-12798 ViPlugin: Abbreviations should not expand if the there is an alpha-numeric string before the abbreviation

  • DVT-12829 ViPlugin: Visual mode edit operations should not be allowed on read-only files

  • DVT-12894 ViPlugin: Copy paste in block visual mode should not add a new line at the end of each copied row

19.1.2 (28 February 2019)

Performance

  • DVT-12946 Improve compile time when using macros with many parameters and many lines replacement

  • DVT-12947 Improve memory footprint for large environments containing many macros

Enhancements

  • DVT-12925 Add log4j.config in DVT distros to disable EGit stdout warnings

  • DVT-12920 Support for non-standard value as packed dimension in type parameter assignments

Bugfixes

  • DVT-12888 False ILLEGAL_ASSIGNMENT semantic error when connecting an interface port array to an array of interfaces

  • DVT-12910 Verification Breadcrumb: Wrong breadcrumb path when selecting an array element from Verification Hierarchy

  • DVT-12922 CDT Integration: The gcc scan is not triggered during the first build after creating a project via the DVT CLI

  • DVT-12926 Sometimes incremental build never ends after a project restore

  • DVT-12951 Force license status indicator on the right side of the status bar

19.1.1 (22 February 2019)

Starting with the 19.1.1 major release our products are no longer compatible with old FlexLM license servers (<11.14).

HIGHLIGHTS

Note: Some of the highlights below were rolled-out in 18.1.# hotfix releases for early adopters.

REMOVED

  • DVT-3913 Removed support for DVT specific file licenses, migrated to FlexLM file licenses

  • DVT-12877 Removed support for FlexLM tools and daemon versions <11.14

  • DVT-12881 Removed support for +dvt_incremental_compile_mode build directive

  • DVT-12880 CDT Integration: Remove +dvt_gcc_link_system_headers build configuration directive

Performance

  • DVT-6507 Improve semantic search and rename refactoring performance

  • DVT-12845 Switched to G1 garbage collector in DVT distros

Features

  • DVT-6546 Queue on the license server when all licenses are in use

  • DVT-7325 CDT Integration: Show C/C++ files in the DVT Compile Order View

  • DVT-10948 Navigate UVM component instance hierarchy using code/diagram editor breadcrumb

  • DVT-12106 CDT Integration: Ability to pass additional arguments to gcc while scanning the included files and to CDT project settings

  • DVT-12350 CDT Integration: Show C/C++ defines in the DVT Macros View

  • DVT-12567 CDT Integration: Exclude from CDT indexing the C/C++ files which are located within the project but not part of the DVT build configuration

  • DVT-12878 Added license status indicator in the status bar

  • DVT-12882 CDT Integration: Show C/C++ files in the DVT Compile Types View

  • DVT-12883 CDT Integration: Add built-in Content Filters for common libraries (C/C++ system headers, SystemC, PSS C)

Enhancements

  • DVT-2497 Ability to use DVT_LICENSE_FILE environment variable for FlexLM licenses

  • DVT-6472 Narrow the search scope when doing refactoring/references on local variables

  • DVT-7307 CDT Integration: Re-index C/C++ projects when DVT build is triggered

  • DVT-8983 Show usages should also report hits inside macro calls

  • DVT-11944 Ability to show connections from the enclosing module to its sub-instances in flow diagrams

  • DVT-12694 CDT Integration: Ability to create a standalone C/C++ project using the DVT CLI

Bugfixes

  • DVT-5654 Show usages / Rename refactoring: False hit in preprocessing-inactive code

  • DVT-5778 Semantic error for forward reference to a type without typedef

  • DVT-6444 Report error when class is used before its declaration

  • DVT-7322 Show usages / Rename refactoring: A "for" loop index variable has a lot of potential matches in other files

  • DVT-9118 Semantic Search should also show results in macro calls

  • DVT-9640 Show usages / Rename refactoring: Wrong potential matches in primitive tables for x and z

  • DVT-10152 Check if variable was declared above the place where it was used in code

  • DVT-11296 Rename refactoring does not change definitions of coverpoint labels

  • DVT-12806 Design Diagrams: Ability to show Schematic Diagram from right click context menu on ports in the Design Hierarchy View ports pane

  • DVT-12831 No references reported in SystemVerilog .* port connections when searching for a port of a VHDL entity

  • DVT-12840 Design Diagrams: Ability to trigger "Show Sources"/"Show Destinations" on instances and logic gates

  • DVT-12857 Rename refactoring fails on SystemVerilog .* and implicit port connections when triggered from a VHDL entity port

  • DVT-12863 When changing the name of a method argument, no errors are triggered at incremental build in calls which use by-name arg bindings

  • DVT-12864 When changing the name of a typedef, no errors are triggered at incremental build in fully qualified references to it

  • DVT-12865 Design Diagrams: Ability to perform "Delete" action in Flow Diagrams

  • DVT-12867 Design Diagrams: "Step in"/"Step out" actions do not preserve diagram preferences and filters

  • DVT-12868 Design Diagrams: "Hide unconnected ports" and "Hide blocks without ports" do not work after performing diagram actions

  • DVT-12884 Show usages / Rename refactoring: Hits located on the same line as the searched element's declaration are not reported

  • DVT-12885 No hits reported when searching for usages of predefined associative array function 'num()'

  • DVT-12886 No hits reported when searching for usages of predefined queue functions

  • DVT-12892 Diagram inputs with power domain info have mismatched coloring in Inspect View

  • DVT-12945 Searching for a variable reports false hits in coverpoint label declarations with the same name

18.1.50 (27 February 2019)

Bugfixes

  • DVT-12926 Sometimes incremental build never ends after a project restore

18.1.49 (21 February 2019)

Bugfixes

  • DVT-12869 Syntax Coloring: Wrong syntax coloring for "Object ..." and "UVM Object ..." categories in dark themes

  • DVT-12871 DPI-C hyperlinks not working when the c_identifier differs from function name

  • DVT-12887 ConcurrentModificationException thrown when scope breadcrumb updates during incremental compilation

18.1.48 (18 February 2019)

Bugfixes

  • DVT-12859 Fixed sporadic JVM crashes due to compilation optimizations

18.1.47 (15 February 2019)

Enhancements

  • DVT-12851 Show a warning when creating a waiver that fully disables a check but is followed by waivers that might re-enable that check

Bugfixes

  • DVT-12848 Allow multi-backslash quoting of quotes in define directives like +define+\\"some_string\\"

18.1.45 (13 February 2019)

Features

  • DVT-12834 Ability to generate build scripts from the active build configuration and user-provided templates using ${dvt_compile_script} variable

Enhancements

  • DVT-11372 Syntax Coloring: Ability to customize the color for class member variables

  • DVT-11373 Syntax Coloring: Ability to customize the color for class instances in modules

  • DVT-11374 Syntax Coloring: Ability to color differently UVM classes and user-defined classes

  • DVT-12487 Diagrams: Indicate which preference to tune if diagram generation fails due to timeout

  • DVT-12555 Design Diagrams: Ability to select the default diagram type: block, flow or schematic

  • DVT-12810 Hide Verissimo read-only waiver files in the waivers edit dialog drop-down

Bugfixes

  • DVT-12779 Design Breadcrumb: Using "Back" button in Diagram Editors breaks the path displayed in the breadcrumb

  • DVT-12795 No error triggered at incremental on out of body task/constraint implementation from another file when changing its enclosing class name

  • DVT-12796 No error triggered at incremental on modport references from different files when changing modport's name

  • DVT-12797 Design Diagrams: "Show Diagram" in the Trace Connections View opens a new editor after "Trace in New Diagram" action in schematic diagram

  • DVT-12801 False warning triggered when an implicit constraint declaration has its implementation in a different file

  • DVT-12803 No error triggered at incremental on interface port references from different files when changing the interface name

  • DVT-12805 Design Diagrams: Sometimes the "Go To Source" action on a logic block in a mixed language diagram opens the file in the wrong language

  • DVT-12807 Design Diagrams: "Back" button does not work properly after performing diagram actions

  • DVT-12813 Design Diagrams: "Show sources/destinations" does not show logic details when the preference is enabled

  • DVT-12814 Design Diagrams: "Select Instance" breadcrumb button does not update the diagram

  • DVT-12816 Design Diagrams: Filter colors are not applied to connections in detailed logic blocks

  • DVT-12817 Design Diagrams: "Show Connections" action is not available when selecting both instances and logic blocks

  • DVT-12818 Design Diagrams: Actions that change the diagram top lead to inconsistent results (step out, show connections to a higher level in the design, etc)

  • DVT-12836 Wrong packed dimension computed for module/interface ports that do not specify packed dimension

18.1.44 (4 February 2019)

Bugfixes

  • DVT-12793 Fixed build performance degradation on Windows due to java.net.URISyntaxException

18.1.43 (1 February 2019)

Features

  • DVT-8022 New scope breadcrumb navigation bar replacing scope information in the status bar

  • DVT-8602 Ability to expand/collapse a module in Design Diagrams

  • DVT-9642 Ability to show sources/destinations for ports in Design Diagrams

  • DVT-12151 Power Format: Ability to generate Supply Network Diagram

  • DVT-12791 Power Format: Add supply ports information in HDL Diagrams

Enhancements

  • DVT-11347 Power Format: Add support for 'set_design_top' UPF command

  • DVT-11467 Ability to show connections for ports in Design Diagram

  • DVT-12765 Power Format: Trigger error when trying to refer an undefined power domain

  • DVT-12784 Show connections for instances and signals in Design Diagram should not pass through logic gates

Bugfixes

  • DVT-12757 New DVT File -> Pick Template dialog does not work on Windows

  • DVT-12760 CLI importProject command closes other existing projects even when -close_others is not specified

  • DVT-12762 Add external tools filter for IUS UPF / CPF errors

  • DVT-12763 Content assist in Perforce unadded file triggers checkout warning

  • DVT-12775 False ILLEGAL_NON_STATIC_ACCESS error for typed constructor calls

  • DVT-12777 No DUPLICATE_ELEMENT warning triggered for same named genvars in the same scope

  • DVT-12790 Trace Diagrams sometimes can not be generated due to UnsupportedConfigurationException

18.1.42 (15 January 2019)

Performance

  • DVT-12552 "Select Top" in Verification Hierarchy View is slow for big projects

  • DVT-12756 Improve memory footprint for environments containing large designs

Features

  • DVT-7508 Ability to copy full hierarchy path of a wire from the Editor and Design Hierarchy View

  • DVT-12726 Update all distro plugins to the latest version

Enhancements

  • DVT-12676 Ability to change the separator when copying the hierarchical path of an instance or signal

  • DVT-12746 Add new external tools variable ${dvt_clipboard} which solves to the clipboard contents

  • DVT-12753 Avoid unnecessary Design Hierarchy View updates on incremental compilation

Bugfixes

  • DVT-12702 Autocomplete inserts duplicate scope for enum items if a prefix is written after the scope resolution operator '::'

  • DVT-12710 Periodic NullPointerException is thrown when a PVerilog editor is missing the p or g file

  • DVT-12722 "Declare port" quick-fix not working after incremental remove of a port in a different file

  • DVT-12725 Downgrade Perforce plugin to version 2018.1 to avoid NullPointerException

  • DVT-12736 Errors when opening a simulator generated UVM Components Diagram due to unescaped characters in XML attributes

  • DVT-12738 Flag wrong usage of scope resolution operator on enum values

  • DVT-12740 No hyperlink for first port identifier in non-ANSI declaration

  • DVT-12758 Class inheritance broken when using -cuname <name> build configuration directive

  • DVT-12759 Semantic highlight broken when using toggle comment or replace all in a file

18.1.41 (13 December 2018)

Features

  • DVT-12239 Ability to define code regions with customizable editor behavior: folding, background color, "read-only" visual indication when edited

Bugfixes

  • DVT-9618 False WIDTH_MISMATCH semantic warning for signal slice operands

  • DVT-12143 Semantic highlight is broken after refactoring operations which touch inactive open editors

  • DVT-12559 Wrong potential matches in files with `ifndef guards compiled multiple times

  • DVT-12685 False UNDECLARED_IDENTIFIER semantic error for member of class named "std"

  • DVT-12691 Opening files with dvt.bat on Windows doesn't work

  • DVT-12692 Trigger unexpected token semicolon (';') error after if...else constraint expression

  • DVT-12693 Build config (ius.irun compat mode): Do not report errors when pointing -uvmhome to a UVM copy missing the "additions" extension

  • DVT-12695 Sometimes NullPointerException is thrown after full build

18.1.40 (7 December 2018)

Performance

  • DVT-12612 Improve implicit signal declaration time for large mixed projects

Features

  • DVT-11589 Add new "Show Instances" hyperlink action to see all instances of a Verilog/VHDL design element

  • DVT-12497 Add new "Open instance" hyperlink action to jump from a module/entity to its instance (in the current breadcrumb navigation bar context)

Enhancements

  • DVT-9550 Build config: -uvm/-uvmhome in ius.irun mode should also add CDNS-1.2/additions/sv incdir and cdns_uvm_pkg.sv topfile

  • DVT-12326 DVT CLI: Ability to create resource filters that match symlinks using -exclude|include flags

  • DVT-12363 Build config: When UVM files are not found in <uvmhome>/src fallback to <uvmhome>/sv/src

  • DVT-12572 Ability to disable the read-only indication for the PVerilog editor

  • DVT-12624 Explicitly declare Quick Assist: Add edit box to packed dimension

  • DVT-12625 Explicitly declare Quick Assist: Ability to declare the signal with only the nettype

Bugfixes

  • DVT-4776 +dvt_auto_link_root+ should take into account the environment variables defined through +dvt_setenv+

  • DVT-12398 Xilinx Auto-config should force questa.vlog compatibility mode

  • DVT-12445 Breadcrumb Navigation Bar and Design Hierarchy View open a normal SystemVerilog Editor instead of a PVerilog Editor when the element is in a generated file

  • DVT-12486 Predefined Content Filters for Xilinx libraries don't work on Windows for DVT_XILINX_HOME paths containing lowercase device letter

  • DVT-12596 Hyperlink on function argument not working when used in named association function calls inside 'begin...end' blocks

  • DVT-12611 Breadcrumb: NullPointerException when changing project nature

  • DVT-12615 Function calls are duplicated in Call Hierarchy View when underlying file is compiled multiple times

  • DVT-12636 Breadcrumb: Enforce GUI update when changing project nature

18.1.39 (29 November 2018)

Enhancements

  • DVT-10076 Add physical sequence/sequencer decorator in UVM Browser View

Bugfixes

  • DVT-12333 Always show Prepend Package information when a class is outside its package

  • DVT-12408 Breadcrumb: When using -options disable_cairo the breadcrumb buttons' background is black

  • DVT-12495 No search results when re-running a previous search query after full build

  • DVT-12579 java.lang.NoSuchMethodError thrown in PVerilog editor on Eclipse Luna 4.4.1 distros

  • DVT-12586 Sometimes Project Templates history is not recording previous parameter values

  • DVT-12589 Separate errors from warnings when limiting the number of problems of a certain kind in a particular file

18.1.38 (19 November 2018)

Bugfixes

  • DVT-12575 CDT method completion is slowed down (patch for CDT 9.2.1, 9.4.3, Eclipse Bug 534189)

  • DVT-12576 java.lang.NoSuchMethodError thrown in multiple UI components on Eclipse Luna 4.4.1 distros

18.1.37 (15 November 2018)

Performance

  • DVT-12560 Slow incremental build in files `included multiple times

  • DVT-12562 Improve full build time for very large design projects

  • DVT-12565 Breadcrumb: Hyperlinks slow down due to Select Instances computation

Enhancements

  • DVT-10103 Project colors: Color background instead of foreground by default

  • DVT-12561 Add deprecated license daemon notice

  • DVT-12566 Build config: In ius.irun mode all extension mappings should be taken into account for each top file

Bugfixes

  • DVT-9349 CDT Integration: Sometimes CDT hangs due to an improper DVT listener

  • DVT-12064 CDT Integration: DVT CDT Builder does not run for a project created via the DVT CLI

  • DVT-12104 CDT Integration: Remove the language specification argument from gcc invocation when scanning the C/C++ included files

  • DVT-12547 Project colors don't work in conjunction with the PVerilog Editor

  • DVT-12548 Breadcrumb: Background color of icons changes when changing any color preference

  • DVT-12551 XVM Field Editor uses wrong registration macros for fields of typedef types

18.1.36 (9 November 2018)

Performance

  • DVT-12503 UML Diagrams: Types selector is slow in big projects

  • DVT-12518 Fix performance degradation of libdir (-y) compilation

  • DVT-12539 Improve full semantic checking performance

Enhancements

  • DVT-12108 Ability to change hyperlink color

  • DVT-12191 Build config: New +dvt_skip_directive which allows skipping any subsequent build config directive

  • DVT-12344 Ability to disable sync scroll in the PVerilog editor

Bugfixes

  • DVT-12496 Semantic error markers disappear when checking out files using Cliosoft SOS revision control system

  • DVT-12498 After restore the PVerilog editor does not show the preprocessing file

  • DVT-12508 CDT Integration: Sometimes source paths extracted from .so files contain an additional whitespace

  • DVT-12512 Inside anonymous blocks UNDECLARED_IDENTIFIER warnings disappear at incremental build in included file

  • DVT-12513 At incremental build some SIGNAL_NEVER_USED warnings are not updated in included files

  • DVT-12517 Wrong macro expansion when the backslash character is encountered within the macro definition text

18.1.35 (2 November 2018)

Performance

  • DVT-12456 Local license client takes a long time to respond leading to UI freezes

  • DVT-12480 Fixed memory leaks when opening large files

Enhancements

  • DVT-12493 Add support for Find Next/Prev actions in the Console View

Bugfixes

  • DVT-7155 Variables set via +dvt_setenv are not expanded in compile waivers "path" attributes

  • DVT-12109 False UNDECLARED_IDENTIFIER semantic error when calling coverpoint.start()

  • DVT-12361 Variables set via +dvt_setenv are not expanded in compile waivers <include/> tags

  • DVT-12397 Autocomplete should not insert scope for enum value if already present

  • DVT-12400 Search View: Scope information is missing for cross-language hits

  • DVT-12416 DVT CLI: createProject -include auto:<threshold> should not affect the .dvt folder

  • DVT-12429 Breadcrumb: False no design elements in file if they are defined in other non-design element

  • DVT-12479 False UNDEFINED_OPERATOR semantic error when assigning a user-defined nettype port of unpacked struct type

  • DVT-12491 Positional tooltip doesn't work for format specifiers values

18.1.34 (25 October 2018)

Performance

  • DVT-12443 Improve build time performance when TCL plugin performs checks on non-script files

  • DVT-12449 Opening a relative path from the embedded terminal might be slow on large projects

Features

  • DVT-12442 Added dvt_cli.sh -svn flag to createProject and importProject commands for automatically associating a project with the Subversive SVN plugin

Enhancements

  • DVT-12355 Open path under cursor from embedded terminal using Ctrl + click instead of double click

  • DVT-12418 Add support for direct mapping of PVerilog files

  • DVT-12437 Add "Copy Workspace Path" command to quickly copy to clipboard the path to the DVT workspace directory

Bugfixes

  • DVT-12346 Breadcrumb navigation bar does not work in the PVerilog editor

  • DVT-12415 False UNDECLARED_IDENTIFIER semantic error for cross-language signal path that contains a VHDL configuration instantiation

18.1.33 (18 October 2018)

Enhancements

  • DVT-12409 Ability to reference the element name in the javadoc_* code templates using ${element_name} template variable

  • DVT-12411 Code Formatting: Ability to vertically align variables declared in functions and tasks

Bugfixes

  • DVT-12098 Code Formatting: Wrong formatting for randsequence statement

  • DVT-12325 Code Formatting: Wrong vertical alignment by curly when using a foreach constraint

  • DVT-12401 Inconsistent "Port # not specified in list of ports" error in non-ANSI module declaration when the port is connected to sub-instance

18.1.32 (12 October 2018)

REMOVED

  • DVT-12371 Removed "Show in Local Terminal -> Terminal" context menu action overlapping "Open Terminal Here" action

Performance

  • DVT-12382 Quick Search in Search View is very slow for projects shared over a slow revision control connection

Features

  • DVT-2991 Add Bash Editor plugin to DVT distribution

  • DVT-5511 & DVT-6201 & DVT-11928 Ability to use some DVT generic features without having a DVT project opened by setting a license force checkout system variable

  • DVT-11853 Add Gerrit Code Review plugin to DVT distros (except for Eclipse 4.4.1)

  • DVT-12234 Add Collaborator (v11) plugin to DVT distros

Enhancements

  • DVT-5475 DVT CLI should use by default the Java network client implementation

  • DVT-10714 Build Config: +dvt_setenv+ should not trigger warning on left hand operand in appends

  • DVT-11983 Power Format: Add support for predefined HDL API

  • DVT-12007 Build Config: Do not trigger error for -xlrm uniq_prior_final directive

  • DVT-12354 Semantic Search (Ctrl+H) should open the appropriate search page regardless of the file extension

  • DVT-12369 Update all distro plugins to the latest version

Bugfixes

  • DVT-11289 Create waiver from a problem whose message contains newlines fails on Windows

  • DVT-11939 Bult-in Xilinx Content Filters should also apply to the Design Hierarchy View top selector

  • DVT-11949 Downgrade Pydev (Python) plugin to version 5.2.0 for Eclipse 4.4.1 distros to avoid incompatibilities

  • DVT-12195 In questa.vlog compatibility mode `include should be solved relative to current file with precedence over incdirs

  • DVT-12250 Content Filters throws NullPointerException when view-set is not specified in the XML file

  • DVT-12316 Ignore macro definition from code when there is a corresponding +define+ in default.build

  • DVT-12348 False SIGNAL_NEVER_USED warning for escaped identifier that start with '$'

  • DVT-12368 ViPlugin: Undo checkpoints are not created when moving the cursor between edits

  • DVT-12374 In specific scenarios, false syntax errors may be reported inside macro calls and get cleared at incremental build

  • DVT-12377 Trigger syntax error for tick in multiple concatenation (e.g. {32'{1'b1}})

  • DVT-12380 Quick Layers View doesn't work for macros

  • DVT-12384 False UNDECLARED_IDENTIFIER semantic error for clocking block port connected to module signal under a bound instance

  • DVT-12387 False UNDECLARED_IDENTIFIER semantic error for implicit signal used in bound instance to not elaborated module

  • DVT-12393 Fixed java.lang.NoSuchMethodError while using Breadcrumb context menu in Eclipse 4.4 distros

18.1.31 (2 October 2018)

Performance

  • DVT-12338 Rename refactoring dialog: Reduce new name sanity checks time

Enhancements

  • DVT-12322 Ability to determine (g) file path in the +dvt_pverilog_run_on_save+ Run Configuration

  • DVT-12334 Rename refactoring dialog: Refactored element name is too cluttered

  • DVT-12336 Rename refactoring dialog: Automatically select all text in new name input box

  • DVT-12343 Rename refactoring dialog: Suggest escaping the new name with '\' when it is not a valid identifier

Bugfixes

  • DVT-11027 Show usages / Rename refactoring does not work for escaped identifiers containing special regex characters like '*' or ':'

  • DVT-11717 Show usages / Rename refactoring doesn't work for identifiers ending in '$'

  • DVT-12194 Syntax coloring: Non-alphanumeric characters in Build Config Editor comments are not colored with grey

  • DVT-12319 Breadcrumb: NullPointerException when clicking on an instance of an undeclared module which is inside a generate

  • DVT-12321 Build config: False error reported on the argument of -cdn_vip_root directive

  • DVT-12327 Folding is broken for files with more than 15k lines

  • DVT-12335 Extra space added in replacement when rename refactoring an escaped identifier

  • DVT-12345 Incomplete class hierarchy when class extends type alias of inner class

  • DVT-12347 Fix typo in predefined uvm_monitor code template (wrong creation of transfer)

18.1.30 (25 September 2018)

Enhancements

  • DVT-12233 Syntax Coloring: Ability to customize the color of hyperlinks in comments

Bugfixes

  • DVT-9023 Debugger integration doesn't work with Questa 10.5 or later

  • DVT-12279 Syntax Coloring: Whitespaces must be marked with gray color when "Show Whitespace Characters" is enabled

  • DVT-12306 DVT CLI: createProject -force should not preserve opened auto-linked files which are not compiled any more

  • DVT-12315 False MISSING_ARG_FORMAT_SPEC when calling $system function

  • DVT-12318 Disk space check on save should verify the drive where a file is saved instead of the workspace

18.1.29 (13 September 2018)

Enhancements

  • DVT-7764 Content assist for enumerated types must also insert correct context

  • DVT-12238 Preference to enable disk full check on editor save action

  • DVT-12251 Incremental recompile files which `include a missing file, when the file is added to the project

Bugfixes

  • DVT-12025 False ILLEGAL_ASSIGNMENT semantic error from wire to user-defined nettype port

  • DVT-12046 Add support for input port connected to output port of user-defined nettype

  • DVT-12178 Wrong name for searched elements in Search View

  • DVT-12220 Selecting a port of an instance in the Design Hierarchy view should trigger a breadcrumb change

  • DVT-12247 Breadcrumb: Selecting a bind from a breadcrumb element children throws an exception

  • DVT-12248 False MISSING_IMPORT_ELEMENT semantic warning when a wildcard import is used in the same scope as explicit import

  • DVT-12252 Incremental compile `include file not working properly if the `include directive is the last `include in the file

  • DVT-12253 The "non-top-files" library should not be available in content assist proposals

  • DVT-12254 The "non-top-files" library should not be deleted (cause new added empty file to hold a semantic scope that is removed)

  • DVT-12255 Non-top file is not incrementally compiled on open if Outline View is not focused

  • DVT-12263 Exceptions thrown when closing a cloned editor with files containing macros

  • DVT-12265 False UNDEFINED_OPERATOR semantic error for unpacked concatenation assigned to a type parameter queue

  • DVT-12289 False NON_EXISTING_TYPE semantic error for local:: used in scope randomize call

18.1.28 (6 September 2018)

Enhancements

  • DVT-4771 & DVT-11092 Ability to incrementally compile a newly included file

  • DVT-5854 & DVT-11991 Trigger a warning for unknown system tasks

  • DVT-11992 Ability to specify known system tasks through build config

Bugfixes

  • DVT-9756 Design Hierarchy View and Verification Hierarchy View showing 'No data' instead of default input message when not populated

  • DVT-9856 Module auto-instantiation doesn't work correctly when module declaration has referenced ports with select

  • DVT-11314 Add predefined bit vector system functions to content assist proposals

  • DVT-11629 False DUPLICATE_ELEMENT error for array type port used in port expression concatenation

  • DVT-12170 Breadcrumb: Specific instance path is lost when cloning or splitting the editor

  • DVT-12182 Power Format: Enabling UPF/CPF on a project should not require the TCL plugin to be installed

  • DVT-12190 Breadcrumb: Updates are not working if Design Hierarchy View is not open

  • DVT-12209 Quick assist: Explicitly declare doesn't work when signal is in a 'bind' construct

  • DVT-12226 Breadcrumb: Changing instance with Select Instance Button does not keep the correct path after switch to other editors

  • DVT-12228 Bit vector signal tooltip shows only the last packed dimension

  • DVT-12237 Check for disk full doesn't run periodically

18.1.27 (30 August 2018)

Enhancements

  • DVT-12217 Breadcrumb: Add background color preference

Bugfixes

  • DVT-7482 Layers View buttons are not visible until resize of view

  • DVT-11254 Breadcrumb: Graphical artifacts for dark theme background

  • DVT-12163 Breadcrumb: Clicking the arrow to see the children of a design element doesn't work for generate blocks with multiple branches

  • DVT-12183 Breadcrumb: Path is not correctly computed for generate blocks with multiple branches

  • DVT-12213 DVT CLI: Using -include auto fails because path to JRE is not computed correctly

  • DVT-12214 Breadcrumb: 'Select Instance' button is not disabled when the instance's design is not declared

  • DVT-12218 Sometimes a trace signal operation freezes after a Design Hierarchy view update is performed

18.1.26 (27 August 2018)

Features

  • DVT-5836 Add support for Content Filters in Checks View

  • DVT-5837 Add support for Content Filters in Coverage View

Enhancements

  • DVT-1873 Show concurrent assertions in the Outline View

  • DVT-11929 Syntax Coloring: Highlight constraint identifiers

  • DVT-12185 Clean-up element types used in Content Filters and Outline View filters

  • DVT-12188 Show expects in Outline View

  • DVT-12192 Trigger warning for covergroup 'with' bins expression that doesn't use 'item' iteration

Bugfixes

  • DVT-10460 False build config error in ius.irun mode: -R takes another directive -nclibdirname as argument

  • DVT-11353 Build config: False error for the '-spectre_args' directive that receives another directive as argument

  • DVT-11663 DVT CLI openFile command on a file inside a project should refresh the file's parent directory

  • DVT-11721 Autocomplete on a parameterized class doesn't include the value parameters

  • DVT-12157 False UNDECLARED_IDENTIFIER error for enumerated named constant when enum identifier ends in number

  • DVT-12169 Prevent false errors being reported when auto-config times out

  • DVT-12189 Restrict assertions do not have an icon in the Checks View

  • DVT-12203 Diagram engine doesn't take into account port labels when computing node size

  • DVT-12204 False ILLEGAL_ASSIGNMENT semantic error for bit select in packed struct

18.1.25 (9 August 2018)

Enhancements

  • DVT-11377 Make tooltip information scrollable

  • DVT-12130 Ability to switch to schematic from a "Show Connections Between" action in a flow diagram

  • DVT-12133 Trigger non-standard warning for missing net type (e.g. wire) or 'var' keyword before implicit data type

Bugfixes

  • DVT-10827 False ILLEGAL_ASSIGNMENT semantic error for integral type variable as target of assignment pattern

  • DVT-11695 Quick fix declare variable triggered inside a named block inserts the variable declaration after the block

  • DVT-11788 Typedefs with no comment above are not fully shown in Inspect View

  • DVT-12009 Inspect View should show the complete expansion of a macro containing other macro calls

  • DVT-12075 Interface name is changed when trying to rename an instance whose name is a substring of the interface name

  • DVT-12135 Inconsistent UNDECLARED_MODULE semantic error for bound instance design at full build vs. incremental build

  • DVT-12140 UML class diagrams don't show some cyclic field associations

18.1.24 (3 August 2018)

Enhancements

  • DVT-12018 DVT CLI: Ability to automatically create resource filters at project creation time, based on the build configuration

  • DVT-12061 Add non-standard support for unpacked array concatenation as target of output port

  • DVT-12126 Replaced menu action "Show Connections Between Targets" with "Show Connections Around" on signals in schematic diagrams

Bugfixes

  • DVT-11224 Declare Field Quick fix should preserve the 'typedef' data type

  • DVT-11923 Collapse expanded macro comparison should ignore trailing whitespace

  • DVT-11974 Debugger Integration: When VCS compilation and simulation are done from different working dirs, source lookup fails for relative paths

  • DVT-12003 Implement Missing Pure Virtual Methods Quick Fix: Function return type is not qualified when it is not visible

  • DVT-12024 False DUPLICATE_PRODUCTION semantic error for same-named productions in different randsequences

  • DVT-12042 Automatically insert single proposal does not work for Code Template proposals

  • DVT-12047 False semantic error when using a field named 'start' as a coverpoint expression

  • DVT-12129 NullPointerException thrown in Annotations preference page when it contains annotations with no labels (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

18.1.23 (26 July 2018)

Enhancements

  • DVT-4414 Ability to configure the maximum number of lines in file and maximum number of matches for Mark Occurrences

Bugfixes

  • DVT-12076 Build Config: Unmatched single quote errors are not reported

18.1.22 (20 July 2018)

Performance

  • DVT-11982 Improve the performance of problems management in projects with large filesystem hierarchies

Features

  • DVT-11422 Ability to show only sources and destinations for a specific signal in HDL diagrams

Enhancements

  • DVT-11449 Trigger error when returning a value in the constructor

  • DVT-11978 Trigger semantic error for 'continue' and 'break' used outside loops

  • DVT-11979 Show compile indices as part of the file info for any Inspect View input

  • DVT-12026 Add non-standard support for real coverpoints

  • DVT-12027 Add non-standard support for exponent format time values

Bugfixes

  • DVT-10760 Bind arguments by position inserts an unnecessary empty comma for the last default argument

  • DVT-11980 False NON_EXISTING_TYPE semantic error for imported class into a '-cuname' compilation unit class

  • DVT-11981 False ILLEGAL_CUNIT_REFERENCE semantic error for design path used inside a '-cuname' class

  • DVT-11999 Quick Fix: "Implement missing pure virtual methods" should specialize parameterizable argument types

  • DVT-12014 Search View should not trim the tabs of every hit line content

  • DVT-12029 False ARRAY_INDEX_SELECT errors thrown after incremental build

  • DVT-12033 Do not trigger error for -dpicpppath <path_to_gcc> build config directive

  • DVT-12043 Escape special XML characters when creating a compile waiver from an existing marker

  • DVT-12056 Build auto-configuration might fail in projects containing header files due to flawed comparator

18.1.21 (6 July 2018)

Features

  • DVT-3985 Ability to open files from embedded terminal using double click

Enhancements

  • DVT-10797 Inspect View: Show compile syntax when selecting a file

  • DVT-10991 DVT CLI: openFile command should support relative paths

  • DVT-11740 Ability to reverse arrow key controls in diagrams

Bugfixes

  • DVT-11854 Hyperlinks to fields of objects randomized within asserts are not working

  • DVT-11964 Hyperlinks to ambiguous variable references in "randomize with" calls wrapped in asserts erroneously jump to local scope variables

  • DVT-11966 Do not trigger error for -cover <spec> build config directive

  • DVT-11969 Incorrect ILLEGAL_ASSIGNMENT error when initializing a queue of type array with an empty queue

  • DVT-11973 Wrong indentation of 'endclass' after applying 'Implement missing pure virtual methods' quick fix for a nested class

18.1.20 (28 June 2018)

Enhancements

  • DVT-11858 ViPlugin: Show warning when searching for non-existing word

  • DVT-11862 ViPlugin: Add "hls" command as shortcut for "highlightsearch"

  • DVT-11958 Add -close_others option to dvt_cli.sh importProject and createProject to close all open projects

Bugfixes

  • DVT-9943 Inspect View: Inspect port in non-ANSI module declaration should show all declaration entries

  • DVT-11856 ViPlugin: Adding a character in the middle of the searched word in command line moves cursor to the end of the word

  • DVT-11860 ViPlugin: Searching with regular expressions highlights wrong number of characters

  • DVT-11863 ViPlugin: Visual block mode must start with a selection of size 1

  • DVT-11864 ViPlugin: In visual mode, the last character on a line cannot be selected without the newline

18.1.19 (21 June 2018)

Enhancements

  • DVT-11936 Diagram filter "show" rules for instances should also make parents visible

Bugfixes

  • DVT-9939 Content Assist: Do not show enum items proposals on enum dot access

  • DVT-10404 Content Assist: No enum items proposals in function return statement

  • DVT-10696 Content Assist: No enum items proposals after class access ("::")

  • DVT-11223 Quick Fix/Assist should take into account the 'Insert spaces for tabs' preference for indentation

  • DVT-11755 Refactoring Scripts: Rename tag should use the "element-full-name" attribute instead of the deprecated "element" attribute

  • DVT-11913 False ILLEGAL_TYPE_REFERENCE for enum cast of concatenation with ternary if inside

  • DVT-11914 False ILLEGAL_ASSIGNMENT error when connecting an array of type 'logic 0:0' to an array of type 'logic'

  • DVT-11915 False UNDECLARED_IDENTIFIER error when using an user-defined 'semaphore' class handle

  • DVT-11920 Show Connections Between diagram action does not work for pairs of child and parent instances

  • DVT-11926 Unexpected exception when accessing DVT -> Icons preference page

  • DVT-11931 Remove EXTERN_FUNCTION_UNDECLARED semantic error triggered for extern function implementation when the class is undeclared

  • DVT-11932 Incorrect quick fix proposal to fully qualify an undeclared class of an extern function implementation

  • DVT-11933 Incorrect autocomplete proposal for undeclared class of extern function implementation

  • DVT-11938 Trace signal action not working when triggered from the Design Hierarchy view when the top of the hierarchy is a particular instance

  • DVT-11941 Debugger integration: When debugging with VCS, the connection gets interrupted after hovering a non-scalar variable

  • DVT-11942 Incorrect ILLEGAL_ASSIGMENT error message when one of the operands is an array of a bit vector under a typedef

  • DVT-11946 Content assist: Do not show proposals that are not visible after package access ("::")

  • DVT-11950 Fixed java.lang.NoSuchMethodError while using Project Colors in Eclipse 4.4 distros

18.1.18 (15 June 2018)

Bugfixes

  • DVT-11925 DVT specific eclipse.ini is missing from Linux and Windows Eclipse 4.6 and 4.7 distros

18.1.17 (14 June 2018)

Features

  • DVT-11420 UVM Components Diagram filters

Enhancements

  • DVT-10865 Override Methods from autocomplete doesn't preserve the method qualifiers

  • DVT-11464 Quick assist: Explicitly declare implicit signal should not use both wire and logic

  • DVT-11693 Trigger semantic non-standard warning for 'soft' keyword used before 'soft/if/unique' constraint

  • DVT-11871 Show enum value proposals for function argument type compatible with the enum type

Bugfixes

  • DVT-8458 Variables declared in action blocks are not proposed as relevant arguments for method call auto-complete

  • DVT-11090 Wrong squiggle for "Redefinition of macro name" warning

  • DVT-11512 Trigger semantic error for undeclared identifier used in return type packed dimension

  • DVT-11825 False UNDECLARED_IDENTIFIER semantic error for hierarchical access in bound instance port connections when bind target is unknown

  • DVT-11827 Quick assist: Signal packed/unpacked dimensions are not computed when explicitly declaring implicit signal

  • DVT-11833 Show Constraints action in views' context menu only for randomizable variables

  • DVT-11899 Quick assist: Signal data type is not computed correctly when explicitly declaring implicit signal from port connection

  • DVT-11901 Design diagram filters ignore port direction

  • DVT-11903 DVT specific eclipse.ini is missing from MacOS Eclipse 4.6 and 4.7 distros

  • DVT-11904 Wrong eclipse.ini path specified in the error message of MacOS Eclipse 4.6 and 4.7 installations

  • DVT-11906 Debugger integration: Error while importing breakpoints from simulator when the file is a symbolic link to the workspace file

  • DVT-11908 False ILLEGAL_ASSIGNMENT semantic error when using a list of an aliased type in an unpacked concatenation

  • DVT-11909 Apply Increase/Decrease Editor Font Size to all editors

  • DVT-11911 False ILLEGAL_ASSIGNMENT semantic error for object of nested class type inside generic class

  • DVT-11916 Wrong file for NON_EXISTING_PARAMETER semantic error marker in extern function implementation

18.1.16 (31 May 2018)

Enhancements

  • DVT-11620 Verification Hierarchy View: Ability to hide non-component instances

  • DVT-11679 UVM Component Diagrams: Show component / object instances according to "Show only UVM Components" button from Verification Hierarchy View

  • DVT-11784 Add marker for references to overridden functions when searching for virtual function references

Bugfixes

  • DVT-8291 Search for references on some macros doesn't work

  • DVT-10294 Sometimes autocomplete may show and insert proposals for a prefix shorter than the one typed

  • DVT-11807 Custom Dialogs need platform restart after ParseException caused by misplaced layoutData attribute

  • DVT-11808 Switching the active build configuration leads to memory leaks

  • DVT-11813 Color handle leaks in viPlugin leading to "No more handles" error

  • DVT-11814 Image handle leaks in editors leading to "No more handles" error

  • DVT-11829 Syntax Coloring: The colors are not updated when opening search results from different files

  • DVT-11830 Code Folding: Folding positions are not updated when opening search results from different files

  • DVT-11836 Positional tooltips should not appear on design declaration

  • DVT-11849 Syntax Coloring: Instances for which the name is part of the type name are colored as functions

18.1.15 (17 May 2018)

Performance

  • DVT-11477 Improve Positional Tooltips performance

Features

  • DVT-9342 Simplify Altera libraries configuration using +dvt_init_altera directive

  • DVT-11697 Ability to autocomplete UPF/CPF command names

  • DVT-11698 Ability to autocomplete code templates for UPF/CPF commands

  • DVT-11735 Ability to quickly fix UPF/CPF commands

  • DVT-11748 Ability to see tooltips for UPF/CPF commands

  • DVT-11787 Ability to highlight UPF/CPF command arguments

Enhancements

  • DVT-9721 Search for virtual function references: Ability to hide references to functions overridden by the searched function

  • DVT-11380 Hyperlinks for JavaDoc @link tags in comments

Bugfixes

  • DVT-6554 Search for virtual function references should not show references to functions with the same name defined in sibling classes

  • DVT-11229 Missing WIDTH_MISMATCH semantic warning because number of bits necessary to store numerical constants is determined lower than necessary

  • DVT-11729 Exception thrown when invoking autocomplete after a bracket character in the Build Config Editor

  • DVT-11782 Search for non-virtual function references should not show calls to functions with the same name in the same OOP class family

  • DVT-11791 Sometimes stack overflow errors are thrown when computing open child implementation for a function belonging to a chain of circular inheritance classes

  • DVT-11800 Sometimes DVT triggers IndexOutOfBoundsException in block selection mode when the selection is on the last line

  • DVT-11801 Changes to existing Verissimo waivers are not saved when closing the waivers dialog

18.1.14 (10 May 2018)

Enhancements

  • DVT-10450 Ability to specify custom depth for UVM Components Diagrams

  • DVT-10666 Add "Step Into" action for UVM Components Diagrams

  • DVT-11660 Add support for -F in Questa compatibility modes

  • DVT-11745 Show compile index information in error tooltip for files compiled multiple times

Bugfixes

  • DVT-11237 False ILLEGAL_ASSIGNMENT error when assigning to a select of an enum type with packed dimensions

  • DVT-11284 False ILLEGAL_ASSIGNMENT semantic error when overriding a value parameter of a generic type

  • DVT-11619 Incorrect semantic error when accessing a non-existing type from a package

  • DVT-11750 Large letter spacing on Windows when using the new diagrams engine (patch for Eclipse 4.7.3)

  • DVT-11754 Prevent sporadic StringIndexOutOfBoundsExceptions thrown when Search View is populated

  • DVT-11757 False type mismatch error for overridden method argument when the file is compiled in multiple libraries

  • DVT-11758 Trigger UNDEFINED_OPERATOR semantic error for operands of predefined types under aliases

  • DVT-11762 Prevent sporadic NullPointerException when opening a diagram while other diagrams are opened

18.1.13 (7 May 2018)

Enhancements

  • DVT-11744 White-spaces after string line continuation '\' char are not allowed

  • DVT-11747 Build config: Add support for non-standard specification of shared libraries using -sv_lib and -sv_liblist with file extension

Bugfixes

  • DVT-11743 GUI freeze at startup due to race condition favored by project colors enablement

18.1.12 (4 May 2018)

Features

  • DVT-11694 CDT Integration: Auto-Link C/C++ files used to build .so files specified in default.build

Bugfixes

  • DVT-11465 Editor tab remains green for different files in different project

  • DVT-11724 False ILLEGAL_ASSIGNMENT error when using a typedef in an unpacked concatenation

  • DVT-11737 Xilinx Auto-config: Isolate user specified directives under +dvt_init_auto from generated build directives

18.1.11 (27 April 2018)

Performance

  • DVT-11700 Improve Breadcrumb Navigation Bar performance

Enhancements

  • DVT-10741 Quick Fix: 'Declare method' should insert the new method after the current method

  • DVT-10824 Add NON_STANDARD support for assignment pattern in equality and inequality

  • DVT-11337 Power Format: Show power domain information in Inspect View when selecting an instance in an HDL diagram

  • DVT-11628 Enhanced type checking for ternary conditional operator

  • DVT-11636 Override Methods Wizard: Insert overridden methods in declaration order

  • DVT-11678 Support for -pkgsearch and -liblist build config directives for package resolution

  • DVT-11681 Add non-standard semantic warning when specializing a type already specialized

  • DVT-11684 Ability to disable Cairo graphics through dvt.sh and dvt_cli.sh on Linux

Bugfixes

  • DVT-10710 Format multiple files should continue format of writable files and skip read-only files

  • DVT-10851 UVM Components Diagrams: Missing OVM TLM port connections

  • DVT-11264 Report an issue in DVT wizard should not close when save to zip action is canceled

  • DVT-11524 When a filter is active in the "generate getters and setters" dialog, methods are only generated for the visible and checked items

  • DVT-11601 Xilinx Vivado Auto-config: Add support for VHDL 2008 syntax

  • DVT-11602 Wrong OVERRIDE_VIRTUAL_METHOD warning for virtual method override of parameterized class

  • DVT-11606 Syntax Coloring: Color programs and primitive as type

  • DVT-11633 Override Methods Wizard: Previously checked methods are not generated if filtered when changes are applied

  • DVT-11635 Click on override indicator does not obey the preference to skip extern

  • DVT-11687 Autocomplete: Wrong editor cursor position when no linked positions

  • DVT-11688 Autocomplete: Wrong text inserted when autocompleting a method with named arguments

  • DVT-11702 Override Methods Wizard: Unable to filter by class/struct name

  • DVT-11703 Crash on Linux when expanding the Find/Replace history combo containing a very large entry (patch for Eclipse 4.4.1, 4.6.3 and 4.7.2)

18.1.10 (16 April 2018)

Bugfixes

  • DVT-11649 Flow diagram not expanded when generating it from an design hierarchy instance

  • DVT-11650 Incorrect top element label in block diagram

18.1.9 (12 April 2018)

Enhancements

  • DVT-10713 Highlight the matching identifier in show constraints

  • DVT-11046 Breadcrumb: Add context menu similar with the one in Design Hierarchy View

  • DVT-11295 Show "Explicitly declare implicit signal" in tooltip quick fix list

Bugfixes

  • DVT-11530 Tooltip, hyperlink and refactoring do not work starting with the 7th enum item when the enum has nested parenthesis inside its body

  • DVT-11621 Resource leak potentially leading to "no more handles" error

  • DVT-11622 dvt_kit_installer.bat doesn't support multiple versions of the same plugin on Windows

  • DVT-11623 Fix uvm_agent code template: erroneous condition in connect_phase()

18.1.8 (5 April 2018)

Performance

  • DVT-11505 Improve Select Type performance for UML Diagrams

  • DVT-11587 Improve Inspect View performance for very large files

Enhancements

  • DVT-11362 Turn EXTERN_METHOD_SIGNATURE missing the scope resolution operator into NON_STANDARD warning

Bugfixes

  • DVT-11592 Xilinx Vivado Auto-config: add support for projects defined with out of project source files

  • DVT-11581 Ignore a second compilation of the same file when under a symlink

  • DVT-11593 Ignore the -cuname package as enclosing scope for design elements (module, interface ...)

  • DVT-11586 False ILLEGAL_PROTECTED_ACCESS semantic error when the class and class package are compiled multiple times

18.1.7 (2 April 2018)

Performance

  • DVT-11550 Incremental build view update performance issues on loaded CPU

Bugfixes

  • DVT-11523 Task tags in files compiled multiple times get multiplied at incremental build

  • DVT-11544 Custom Dialogs: Enter should apply and close the dialog when the output of the focused widget is not redirected

  • DVT-11552 Remove superfluous 'name' field factory registration from the built-in uvm_conifg_obj code template

  • DVT-11555 CLI listCompiledFiles command does not list library files (specified using -y or -v)

  • DVT-11559 dvt_build.log file no longer created for a new project

  • DVT-11566 Stack overflow error thrown when declaring a typedef of a class with a non-existing package

18.1.6 (29 March 2018)

Features

  • DVT-6816 Trace Connections support for struct type signals

  • DVT-8501 Support for struct type signals in design diagrams

Enhancements

  • DVT-11456 Inspect View: Add keyboard shortcut to cycle through multiple inputs

  • DVT-11522 Add non-standard warning when importing a type from a package that doesn't export it

Bugfixes

  • DVT-10269 Verissimo should not proceed when the full build is canceled

  • DVT-10750 & DVT-11365 Ignore irun "-rnm_coerce" flag in build config

  • DVT-11342 Breadcrumb: Silence harmless exceptions thrown when opening a design file located outside project

  • DVT-11379 Ignore irun "-override_timescale" flag in build config

  • DVT-11430 Generic interface port signals don't appear in the schematic of the enclosing module

  • DVT-11480 Wrong extern decoration in Layers View

  • DVT-11484 Expanding macro containing ``" leads to unexpected result

  • DVT-11486 Wrong description for predefined function $changed

  • DVT-11501 Labels in UVM Components diagrams are not consistent with the Verification Hierarchy View

  • DVT-11511 Refactoring scripts: unable to rename enum constants

  • DVT-11516 DVT license is checked-out on exclusive C/C++ projects when using the hyperlinks

  • DVT-11529 Inspect View does not update when brought back from minimized state

  • DVT-11538 Generate HTML Documentation should not proceed when the full build is canceled

18.1.5 (22 March 2018)

Features

  • DVT-9817 & DVT-10047 Type checking in return statements

Enhancements

  • DVT-11178 Search View: Add toolbar button to show/hide potential matches

  • DVT-10186 Inspect View: Add keyboard shortcut to increase / decrease the number of context lines

Bugfixes

  • DVT-11156 False errors reported when constructing a user-defined 'semaphore' class

  • DVT-11406 False UNDECLARED_IDENTIFIER semantic error when accessing a member of a clocking block port of parameter type

  • DVT-11428 Show Extended Help from Problems View does not work

18.1.4 (15 March 2018)

Bugfixes

  • DVT-11179 Search View: Potential matches foreground coloring preference is not taken into account

  • DVT-11388 Diagram filters main dialog closes when "new filter" sub-dialog closes

  • DVT-11402 DVT Debugger: Exception thrown in some cases when debugging with irun and trying to get array member values

  • DVT-11405 False ILLEGAL_WITH_CLAUSE error when cross label is the same as another field in the enclosing scope

  • DVT-11407 Trigger error when the implementation of a pure virtual method doesn't match the prototype

  • DVT-11409 Show Constraints: Hide rand_mode/constraint_mode filters not working

18.1.3 (8 March 2018)

Features

  • DVT-10982 Trace connections diagram filters

Bugfixes

  • DVT-11028 Wrong override markers after incremental

  • DVT-11135 False SIGNAL_NEVER_READ warnings for signal used in dynamic array size expression

  • DVT-11131 Remove NON_STANDARD warnings for optional for loop initialization/condition/step when compiling in SystemVerilog 2012 syntax or newer

  • DVT-11152 Compile Order View is re-created if not visible when re-applying waivers

  • DVT-11369 Wrong squiggle for duplicate coverpoint/covercross warnings

  • DVT-11375 False UNSUPPORTED_METHOD when using method 'sum' without a 'with' clause on an array of type enum

  • DVT-11378 Ability to use regex in design diagrams filter paths

  • DVT-11382 Wrong message in the Console View when the build log file is disabled

  • DVT-11391 Sometimes the design diagrams Go To Source action jumps to wrong file

18.1.2 (2 March 2018)

Performance

  • DVT-10536 Improve Show Constraints performance

  • DVT-10762 Improve UVM Components Diagram generation performance

Enhancements

  • DVT-11346 Add non-standard support for class objects used in binary logical operators

Bugfixes

  • DVT-9795 Build config: +dvt_auto_link_file sometimes triggers "Illegal attempt to modify an immutable tree" exceptions

  • DVT-11214 Wrong highlight on search view for dot star (.*) matches

  • DVT-11300 Syntax Coloring: String color in Build Config Editor is updated only when reopening the editor

  • DVT-11310 Xilinx Vivado Auto-config should include recursively referenced IP files

  • DVT-11322 Add NON_STANDARD support for arrays in event control operations

  • DVT-11330 Fixed sun.security.validator.ValidatorException by updating JRE certificates

  • DVT-11332 Platform freeze after full build due to race condition

  • DVT-11352 Prevent sporadic null pointer exceptions during project clean

  • DVT-11361 Fixed VCS debugger integration startup issues

18.1.1 (22 February 2018)

HIGHLIGHTS

Note: Some of the features below were rolled-out in 17.1.# hotfix releases for early adopters.

REMOVED

  • DVT-10341 Removed Eclipse accounts toolbar button in Eclipse 4.7 (Oxygen)

  • DVT-11246 Removed Eclipse 3.8 and 4.5.1 distros

  • DVT-11287 Replaced dvt_kit_installer.sh PERFORCE with PERFORCE.2015 and PERFORCE.2016

Features

  • DVT-3869 Breadcrumb Navigation Bar in HDL diagram editors

  • DVT-5699 Add Low Power Format Support - UPF and CPF power domain visualization

  • DVT-6671 Ability to navigate up in the design hierarchy from an HDL editor

  • DVT-7015 Ability to automatically configure DVT project build starting from an existing Xilinx ISE project

  • DVT-7885 Breadcrumb Navigation Bar in HDL editors

  • DVT-8139 Ability to automatically configure DVT project build starting from an existing Intel(Altera) Quartus project

  • DVT-10072 Ability to navigate up in the design hierarchy from an HDL diagram

  • DVT-10259 & DVT-11245 Add Perforce 2018 in DVT distros

  • DVT-10934 Ability to show all connections of selected instances in a Schematic diagram

  • DVT-11239 Add Lua plugin in DVT distros

  • DVT-11244 Update all distro plugins to the latest version

  • DVT-11266 Ability to automatically configure DVT project build starting from an existing Xilinx Vivado project

  • DVT-11274 Ability to show connections between selected instances in a Schematic diagram

Enhancements

  • DVT-10940 Add auto-complete functionality to the HDL diagram filters dialog

  • DVT-11275 Ability to use diagram-relative paths in HDL diagram filters

  • DVT-11278 Add unique IDs to non-standard checks

  • DVT-11297 New Quick Fix: Declare signal for ILLEGAL_IMPLICIT: Illegal implicit declaration of 'signal' when `default_nettype set to none

Bugfixes

  • DVT-10904 XML files in hidden folders are not validated by the XML plugin using the DTD (patch for Eclipse 4.4.1, 4.6.3, 4.7.2)

  • DVT-11211 No error reported in timing checks function calls which use an undeclared notifier

  • DVT-11212 No error reported in typedef class/covergroup on class/covergroup name when not declaring them

  • DVT-11213 Syntax Coloring: class/covergroup identifier not properly highlighted on "typedef class/covergroup id"

  • DVT-11292 Deadlock when generated default.build.auto.X file is refreshed during build

17.1.45 (19 February 2018)

Bugfixes

  • DVT-11120 False syntax errors for `includes between pragmas of inline lint waivers

  • DVT-11243 False ILLEGAL_PROTECTED_ACCESS when accessing a protected method through a field in a nested class

  • DVT-11258 Design hierarchy is broken when specifying -top directive for a mixed language VHDL and Verilog project

  • DVT-11283 IllegalArgumentException in DVT views due to disposed image

17.1.44 (8 February 2018)

Enhancements

  • DVT-11180 Report non-standard warning on unfinished define replacement string literal

Bugfixes

  • DVT-11235 Suppressed internal error message for Syntax Coloring

  • DVT-11240 Resource leak potentially leading to "no more handles" error

17.1.43 (1 February 2018)

Enhancements

  • DVT-11210 Add semantic check for event control expressions

Bugfixes

  • DVT-11148 False errors reported due to encryption envelopes

  • DVT-11193 Missing bind target warnings not reported due to NullPointerException during compilation

  • DVT-11196 Code Formatting: Wrong module port declarations vertical alignment when using interface types

  • DVT-11205 UVM Components diagram fails to generate if Verification Hierarchy view is not populated

  • DVT-11206 Design diagrams fail to generate if module name starts with 'PD'

  • DVT-11208 False UNDECLARED_MEMBER semantic error when accessing a field of a nested struct inside another struct

17.1.42 (25 January 2018)

Enhancements

  • DVT-11020 Trigger error when using an unpacked data type in a coverpoint expression

Bugfixes

  • DVT-11160 Tooltip doesn't correctly display comments containing angle brackets

  • DVT-11162 Stack overflow error thrown when a nested class uses a type alias of the enclosing class in a type parameter override

17.1.41 (19 January 2018)

Performance

  • DVT-10333 Syntax Coloring: Improve semantic coloring performance

Enhancements

  • DVT-10956 Syntax Coloring: Color arguments bound by name in function calls similar to ports

  • DVT-11023 Show a warning if _JAVA_OPTIONS or JAVA_TOOL_OPTIONS system variables are set before running the tool

  • DVT-11098 Content Filters: Ability to use variables defined using +dvt_setenv when specifying paths

Bugfixes

  • DVT-10098 Crash on MacOS when expanding/collapsing tree nodes in views

  • DVT-10689 Syntax Coloring: Color as template ID identifiers with name surrounded by x_ and _x

  • DVT-10706 DVT spell checker does not work on non-DVT files

  • DVT-11099 Quick Assist: Split to Extern and Implementation breaks for long method signature

  • DVT-11107 Search View label should show total number of matches after content and category filters are applied

  • DVT-11122 Stack overflow error thrown when the port reference has the same name as the port identifier in a non-ansi module declaration

  • DVT-11126 False ILLEGAL_CUNIT_REFERENCE semantic error for non-standard DirectC function

17.1.40 (11 January 2018)

Features

  • DVT-10487 New +dvt_init+gcc compatibility mode

Enhancements

  • DVT-9606 Option to show linux header files in DVT Auto-Linked

  • DVT-10771 CDT Integration: Remove duplicate arguments when invoking GCC

  • DVT-11077 CDT integration: Change default timeout to 40 seconds when running GCC to collect all compiled C files

  • DVT-11087 Ability to override -sourcemap entries when creating a project with dvt_sv_lint_gui.sh

  • DVT-11088 Ability to filter out paths from -sourcemap with regex when creating a project with dvt_sv_lint_gui.sh

Bugfixes

  • DVT-10469 Build config editor: Autocomplete does not list C as a possible language for +dvt_ext_map directive

  • DVT-10852 C/CPP files are not compiled in questa.vlog compatibility mode

  • DVT-11039 Quick Fix, Quick Assist and Override actions apply preprocessing to argument and return data types

  • DVT-11075 CDT Integration: No C files are auto-linked when using -imacros directive

  • DVT-11080 Wrong UML Diagram labels for arrays indication

  • DVT-11093 Method with named port connection autocomplete as argument exited badly with its exit policy

17.1.39 (8 January 2018)

Performance

  • DVT-10721 Auto-config using +dvt_skip_compile should prevent visiting files inside skipped directories

Enhancements

  • DVT-9179 Auto-config should promote *.svh files to be compiled before other source files

  • DVT-11016 Type Hierarchy: Add "Open Super Implementation" for implementations of functions defined in interface classes

  • DVT-11040 Code Formatting: Option to move else on a new line or same line with end

Bugfixes

  • DVT-10455 Inspect View line numbers are not synchronized for multiple entries

  • DVT-10492 Auto-config infers false +incdir+/ from `includes with absolute path

  • DVT-10884 False WIDTH_MISMATCH_TRUNCATION when using enum variables with a specified type

  • DVT-10951 Syntax Coloring: User defined keywords are not colored as keywords

  • DVT-11012 Inspect View does not show current file info if nothing is selected in some cases

  • DVT-11034 Infinite loop during serialization of the problems database

  • DVT-11037 Literal string argument in $sformat function call incorrectly interpreted as format string

  • DVT-11047 Custom Dialogs: Snapshot does not restore previous selection for a Directory Files Listing

  • DVT-11051 Sporadic crashes due to Java HotSpot optimizations

  • DVT-11062 False UNSUPPORTED_METHOD error for array manipulation methods on arrays of packed structs

17.1.38 (18 December 2017)

Performance

  • DVT-9976 Improve performance for Alt + / word completion

Enhancements

  • DVT-8734 Show quick fix proposals in tooltips of identifiers affected by errors

  • DVT-10171 Show error details in tooltips of identifiers affected by errors

  • DVT-10910 Show the current file info in Inspect View when nothing is found at the cursor location

Bugfixes

  • DVT-7087 False UNDECLARED_LIBRARY warnings given in libconfig files after restore

  • DVT-10623 Several semantic warnings not reported after restore

  • DVT-10955 Implicit signal declarations differ based on continuous assignments and port connections order

  • DVT-10980 Ability to copy text directly from predefined diagram filters

  • DVT-10988 Sometimes error decorations in Project Explorer are hidden by warnings

  • DVT-11000 Inspect View number recognition does not work in certain cases

  • DVT-11003 Inspect View does not work for elements defined in VHDL

  • DVT-11005 When running Verissimo from DVT, the pre-lint build should only trigger the DVT internal builder

  • DVT-11011 False WIDTH_MISMATCH_TRUNCATION warning when calling let functions

17.1.37 (6 December 2017)

Enhancements

  • DVT-6749 Add rule parameters and default values to generated verissimo_rule_pool.xml

  • DVT-10876 Support for -top top_name:config to specify the top_name resolves to a configuration

  • DVT-10877 Duplicate -top top_name directives should be elaborated only once

  • DVT-10905 Add configured rule parameters to verissimo_ruleset_doc.html

Bugfixes

  • DVT-10901 Syntax Coloring: Identifiers in "export" are not colored

  • DVT-10902 DVT Resource Filters don't work in Windows for partial subdirectory selection

  • DVT-10916 Trigger error if a number is used as foreach loop variable, e.g. foreach (foo j)

  • DVT-10933 Hide unconnected ports in HDL diagram filters doesn't work when signals are implicitly hidden

  • DVT-10939 SVTB.20.15 False hits for variables declared inside methods

  • DVT-10952 Build config: incorrect number of expected arguments for xcelium directives

  • DVT-10953 Do not automatically show the Inspect View if it is closed

17.1.36 (24 November 2017)

Features

  • DVT-10878 Automatically generate run configuration custom dialog starting from makefile

  • DVT-10908 Ability to filter HDL Diagrams

Enhancements

  • DVT-10831 Ability to add whitespace before/after stuttering

  • DVT-10883 Increased default heap size to 3g and default stack size to 4m for all 64 bits distros

Bugfixes

  • DVT-6691 Specador: Configuration wizard appears before build is done

  • DVT-10879 Custom Dialogs: Snapshot does not restore previous directory for a Directory Chooser

  • DVT-10890 Quick Fix: Implement Missing Pure Virtual Methods should not try to check out the parent class file

  • DVT-10886 Wrong NOT_IMPLEMENTED_PURE_VIRTUAL semantic error triggered on class specialized with a type parameter

17.1.35 (17 November 2017)

Enhancements

  • DVT-10834 Settings Management: Ignore unusually large preference files (more than 32M)

  • DVT-10837 Add non-standard support for library and incdir specification enclosed by quote

  • DVT-10854 Relax finite-state machine diagram engine to check only the text representation of state values

Bugfixes

  • DVT-10132 Renamed *.scr files contained in the distro to avoid antivirus false alerts on Windows

  • DVT-10738 False ILLEGAL_ASSIGNMENT semantic error when passing the array element of a function call as argument

  • DVT-10804 Quick Fix: Update extern implementation is not working when result type qualification is required

  • DVT-10805 Quick Fix: Implement extern method doesn't fully qualify return type when required

  • DVT-10843 Positional tooltip does not work for consecutive specifiers in format string

  • DVT-10847 Build Config: Keywords are black in Moonrise theme

  • DVT-10848 Quick Assist: Declaration of implicit signal not properly inserted when last field declaration spans multiple lines

  • DVT-10855 Trace Connections View: Collapse All toolbar button has wrong Expand All label

  • DVT-10856 False NOF_PARAM_OVERRIDES semantic warning for primitive instance with delay specified

  • DVT-10860 ViPlugin: Save macros in an Eclipse compatible format

  • DVT-10870 UVM Components Diagrams: If filtering regex is invalid, the diagram fails to generate

17.1.34 (10 November 2017)

Performance

  • DVT-10353 Improved Inspect View response time by limiting it to 1000 lines of code

Features

  • DVT-10642 Quick Assist: Connect instance ports by name/position

Enhancements

  • DVT-10115 Add the Inspect View in a separate panel inside the perspective

  • DVT-10554 Quick Fix: Remove signal never used

  • DVT-10791 Ability to disable classic Eclipse theme, XULRunner, GTK theme and GTK2 through dvt.sh and dvt_cli.sh on Linux

  • DVT-10820 Do not trigger other related errors after a ILLEGAL_CUNIT_REFERENCE error

Bugfixes

  • DVT-1775 Expand the macros in a read-only file doesn't show the checkout dialog

  • DVT-9843 Inspect View does not work for predefined API

  • DVT-10626 Code Factory: Create from Template not visible in TCL editor right click menu

  • DVT-10685 Quick Fix: Update instance for last port does not remove the comma at the end

  • DVT-10730 Inspect View not working for existing some typedefs

  • DVT-10745 Task tags not visible in view when appearing in multi-line comments

  • DVT-10785 Wrong IMPLICIT_PORT_CONNECTION triggered for bind instance of an undeclared module target scope

  • DVT-10790 Do not set GTK theme through GTK2_RC_FILES when theme file doesn't exist

  • DVT-10811 "Go to Source" action is displayed in HDL diagrams even without a selection

  • DVT-10814 Wrong error message for comparison of incompatible types

  • DVT-10825 Content Assist: Method autocomplete jumps to incorrect position after modifying arguments

  • DVT-10828 False UNDEFINED_OPERATOR error when assigning or comparing string and integral type variables

  • DVT-10829 Trigger semantic warnings/errors for all implicit signal assignments

17.1.33 (1 November 2017)

Enhancements

  • DVT-10759 Add support for non-standard syntax 'wildcard bins bin_identifier expression = transition_list'

  • DVT-10761 Add support for non-standard DirectC syntax

Bugfixes

  • DVT-10701 False ILLEGAL_DEFAULT_VALUE for output arguments of a method

  • DVT-10702 NOF_PARAM_OVERRIDES semantic error should be treated as NON_STANDARD

  • DVT-10765 Wrong NOT_IMPLEMENTED_PURE_VIRTUAL semantic error triggered on parameterizable class definition

  • DVT-10781 Fixed Inspect View Copy Path with a double click

  • DVT-10784 Build log file truncated when specifying +dvt_build_log_to_console+false

17.1.32 (25 October 2017)

Bugfixes

  • DVT-10688 False ILLEGAL_WITH_CLAUSE_EXPRESSION error for array manipulation methods expecting relational operator compatible expressions

  • DVT-10704 dvt_build.log file handle is not released on project close

  • DVT-10739 Sometime incremental build fails to resolve the class parents

17.1.31 (20 October 2017)

Performance

  • DVT-10653 Incremental build performance issue on file containing initial begin ... end block followed by other element definitions

Enhancements

  • DVT-9126 Show 'Did you mean ...' quick fix for implicit signal declarations if a similar signal is available in scope

  • DVT-10275 DVT Debugger: Add support for Xcelium simulator

  • DVT-10280 DVT Debugger: Connect to "localhost" if the hostname detected by the simulator is the hostname of the machine where DVT runs

  • DVT-10647 Build config: Add support for comma separator in extension lists specified using +libext/-libext in ius.irun compatibility mode

Bugfixes

  • DVT-10234 DVT Debugger: Step over with irun should run -adjacent

  • DVT-10563 DVT Debugger: When using irun, stepping over posedge with iff condition locks the debugger in an inconsistent state

  • DVT-10635 Task tag reminders missing for macro expansion pragmas

  • DVT-10654 False UNDECLARED_IDENTIFIER semantic error on incremental build when hierarchically accessing an identifier of an undeclared module instance

  • DVT-10671 Override Wizard: No Override Methods proposal after CamelCase prefix of inherited method

  • DVT-10673 Autocomplete should not insert space after non-identifier characters

17.1.30 (13 October 2017)

Enhancements

  • DVT-10621 Do not trigger error when using a method/field of a type from a different package without an import clause

Bugfixes

  • DVT-7841 Show Usages not working for interface class members

  • DVT-10590 Hyperlink not working for class field in 'ovm_rand_send_with' macro call

  • DVT-10634 False NOT_A_MEMBER semantic errors for function variables used in 'this.randomize() with' block

  • DVT-10640 Compile waivers should support paths containing /../

  • DVT-10670 UVM Components diagram is not generated because of dummy edge with null target

17.1.29 (6 October 2017)

Enhancements

  • DVT-10464 Add info to SIGNAL_NEVER_READ semantic warning that is connected to a sub-instance output port

  • DVT-10527 Do not issue "Undefined macro name" errors for `inline `noinline `portcoerce compiler directives

  • DVT-10561 Add support for non-standard assignment/comparison of null to dynamic arrays

  • DVT-10557 Trigger unused return value warning when calling a value returning function without using its result

Bugfixes

  • DVT-9936 Type parameters in parametrized classes not properly restored

  • DVT-10463 False SENSITIVITY_MISSING semantic warning on variables declared outside always block

  • DVT-10530 Instance port is not semantically colored after restore

  • DVT-10547 Autocomplete: Should not insert proposal after keyword without a delimiter

  • DVT-10595 Missing SIGNAL_MULTIPLE_DRIVERS semantic warning on a signal connected to multiple sub-instance output ports

  • DVT-10597 Macros depending on embedded define is not correctly expanded all levels

  • DVT-10599 False UNDECLARED_IDENTIFIER semantic error for 'this' in std::randomize call

  • DVT-10600 False UNDECLARED_IDENTIFIER semantic error in 'with' clause when the object is of type parameter

  • DVT-10601 False semantic error triggered when using -top/+nctop+ with a package name

  • DVT-10607 False UNSUPPORTED_METHOD error for array manipulation methods when using parameterized types or packed arrays

  • DVT-10608 Bind declarations in library scope are not resolved on incremental build

  • DVT-10611 False ILLEGAL_EXPRESSION error when 'rand_mode()' is used as a function

  • DVT-10610 UVM Components diagram is not generated if the verification hierarchy contains recurrent instances

  • DVT-10613 No 'EXTRA_ARG_FORMAT_SPEC' problem reported when no format specifiers used in format string

  • DVT-10614 Quick Fix: "Implement missing pure virtual method" doesn't preserve the access kind qualifiers

  • DVT-10615 False ILLEGAL_WITH_CLAUSE error when 'with' clause is used with a cross in a covergroup

17.1.28 (28 September 2017)

Enhancements

  • DVT-9999 Check if more arguments than needed were passed to system tasks using format specifiers

  • DVT-10522 Trigger error for incorrect use of 'with' clause

  • DVT-10523 Trigger semantic error for array reduction methods without 'with' clause if array type is not numeric or logic

  • DVT-10553 Enhance error messages to show actual value for scalar types instead of 'unknown'

  • DVT-10555 Don't show a light-bulb indication on the Problems View markers that don't have a quick fix

  • DVT-10571 Quick Fix: Fully qualify / Import for IMPLEMENT_INTERFACE_CLASS error

  • DVT-10572 Semantic Coloring: Color non existing implemented class interfaces as types

  • DVT-10574 Trigger error for void functions used as expressions

Bugfixes

  • DVT-10559 Wrong type solving when typedef name is identical with class name from imported package

  • DVT-10573 Quick Assist: Bind by position doesn't preserve argument order from method declaration

  • DVT-10577 Foreach loop variable incorrectly resolved for arrays of typedef element type

  • DVT-10583 False ILLEGAL_TYPE_REFERENCE semantic error when connecting a bound instance to another bound instance port

  • DVT-10584 Quick Fix: Declare Field wrong insertion point for signal used in 'bind' constructs

  • DVT-10585 Compile waivers created automatically from Problems View context menu and Quick Assist incorrectly escape ampersand '&' characters

  • DVT-10588 False UNDECLARED_IDENTIFIER semantic error on hierarchical expression using clocking block port

  • DVT-10589 StackOverflowException when class extends typedef (circular inheritance)

17.1.27 (22 September 2017)

Performance

  • DVT-9979 Performance: Incremental build will not recompile touched files unless content has actually changed

Features

  • DVT-8246 Ability to expand .* wildcard named port connections to explicit named port connections

  • DVT-9658 Change a function call to/from argument name notation .argument(arg)

Enhancements

  • DVT-7660 Support for verification hierarchy components that have parent classes not factory registered

  • DVT-10147 Flag semantic error for a compilation unit define type used within a package

  • DVT-10417 Add option to customize diagram generation timeout limit

  • DVT-10422 Add option to filter elements from UVM Components diagrams

  • DVT-10461 Enhance non top files handling (step 1 - compile non-top files in a separate library and clean-up when file is closed)

  • DVT-10539 Clean-up of trace operation actions in views and editor

  • DVT-10558 Flag error when using incorrect format specifiers

  • DVT-10560 DVT CLI: Ability to create include resource filters when using the createProject command

Bugfixes

  • DVT-9003 Content assist should not propose type compatible argument for implicit iterator arguments of array manipulation methods like sum()

  • DVT-10332 UVM Browser View is not showing the correct hierarchy when a parameterized class extends its parameter

  • DVT-10470 Quick fix: Declare field/variable wrong insertion point if inside field/variable declaration

  • DVT-10513 Quick fix: Create file should not insert new line at the beginning of file

  • DVT-10534 Build config: In vcs.vlogan compatibility mode -ntb_opts issues an error when VCS_UVM_HOME is set

  • DVT-10535 False UNDEFINED_OPERATOR error in the with clause of queue methods

  • DVT-10549 Build config: In vcs.vlogan compatibility mode the first invocation specifying -ntb_opts along with other source files should compile the UVM library

17.1.26 (14 September 2017)

Enhancements

  • DVT-646 Ability to quickly jump to next/prev error or warning in the Console View

  • DVT-5701 Ability to specify some global directives which are valid for any other invocation using +dvt_prepend_init

  • DVT-9950 Add support for non-standard assignment/comparison of null to scalar variables (time, bit, real)

  • DVT-9991 Trigger error when assigning an incompatible value to an enum variable without a cast

  • DVT-10381 Ability to chose which builders should run before/after the execution of a run configuration (internal/external/both)

  • DVT-10457 DVT CLI: Ability to use java network client implementation instead of linux netcat by specifying -jnetcat flag

  • DVT-10465 Trigger semantic warning for explicit unconnected sub-instance input port

  • DVT-10476 Build config editor autocomplete should take into account prefix when ordering proposals

  • DVT-10503 Trigger semantic error when ref/output/inout port (not-assignable) has a default value

Bugfixes

  • DVT-9974 Incorrect return type for array reduction methods when using 'with' clause or multidimensional arrays

  • DVT-10122 False MISSING_PORT_CONNECTION for concatenation used in module declaration

  • DVT-10428 Syntax Coloring: Highlight for inner class types in variable definitions is not correct

  • DVT-10458 Auto-config: should take into account the ability to `include files on the same level of filesystem in questa.vlog compatibility mode

  • DVT-10478 Syntax Coloring: Wrong highlight for macros with line continuation

  • DVT-10482 False ILLEGAL_ASSIGNMENT because fixed-sized array is recognized as associative array

  • DVT-10483 False "Cannot drive output port" error when the port is used in a signal select

  • DVT-10485 Design Hierarchy View shows graphical artifacts when switching project during update followed by a filter clear

  • DVT-10486 False ILLEGAL_NON_STATIC_ACCESS semantic error when calling class function from extern function declaration body

  • DVT-10515 Wrong semantic errors reported in programs/checkers not instantiated from top

  • DVT-10520 Wrong instance generated when module has implicit non-ANSI ports

  • DVT-10521 Semantic errors are not shown in newly created modules

17.1.25 (31 August 2017)

Enhancements

  • DVT-8773 Show sequential logic in flow diagrams

  • DVT-9774 Ability to jump to the "`include(s)" of the current file from the editor context menu

Bugfixes

  • DVT-10038 Autoconfig should not add -uvm if already specified

  • DVT-10441 False non-standard warning on import DPI escaped identifier usage

  • DVT-10442 False missing include file error in Questa compatibility mode when included file resides under the same top file directory

  • DVT-10448 Display "Create Waiver" proposal only on lines with semantic/syntactic markers

17.1.24 (24 August 2017)

Performance

  • DVT-10431 UVM Field Editor open time improvements on large environments

Enhancements

  • DVT-9167 Always capitalize the task tags inside Tasks View

  • DVT-9885 Support for default case item when determining finite-state machine diagram

  • DVT-10425 Add option to hide "DEFAULT" state value in FSM diagrams

Bugfixes

  • DVT-5649 Slow paring after linting is canceled

  • DVT-8100 Syntax coloring: The closing slash of a multi-line comment is not highlighted

  • DVT-8339 Trace signal does not reach some bind instances

  • DVT-10001 Open file from right click on DVT Auto-Linked folder can link the file in a wrong directory

  • DVT-10204 Extract to function doesn't work if the selection starts or ends with comment

  • DVT-10338 Syntax coloring: Preference page IndexOutOfBounds exception when searching for inexistent category

  • DVT-10349 Task tags inside comment blocks starting with non-alphanumeric characters are not collected

  • DVT-10410 Wrong NON_STANDARD extern function signature warning when type of argument in declaration and implementation differs

  • DVT-10411 False errors for implicit variables used in multiple levels of array manipulation method calls

  • DVT-10414 Finite-state machine diagram does not generate after incremental on a generate block state variable

  • DVT-10427 Syntax coloring: Task tags with text longer than 10 characters (without spaces) are not correctly highlighted

  • DVT-10430 Project not restored in some cases due to OptionalDataException

  • DVT-10433 Multiple task tags inside comment blocks are not collected

17.1.23 (11 August 2017)

Performance

  • DVT-10408 Performance improved when compiling very long expressions

Bugfixes

  • DVT-10402 False UNDECLARED_IDENTIFIER semantic error when one instance hierarchically references a field of a bound instance in the same top

  • DVT-10407 Missing syntax error when found return statement in a void function (when return is not the last statement)

17.1.22 (10 August 2017)

Performance

  • DVT-10397 ViPlugin: Improve search with alternate operator "|"

Features

  • DVT-2403 Ability to format multiple files at once

  • DVT-3159 Ability to generate UVM Components Diagrams with TLM port connections

Enhancements

  • DVT-4195 Ability to "Go to Include" from a top file in the Compile Order View to the corresponding build configuration directive

  • DVT-7136 Ability to match beginning / end of string when searching in views

  • DVT-9704 Build config: Support for "-scSyncEveryDelta" in ius.irun mode

  • DVT-10045 Method autocomplete should place cursor at the end of the method after insertion

  • DVT-10283 Project colors: Use the current project color for views info label

  • DVT-10325 Trigger errors for checker instances in functions and tasks

  • DVT-10351 Trigger semantic error for implicit signals when connected to vectors in port connections or assigns

  • DVT-10370 Add support for +dvt_pverilog_run_on_save+<run config name>

  • DVT-10382 UML Diagrams: Ability to group classes by package

  • DVT-10384 Check the number of port connections of a checker instance

  • DVT-10385 Check the type of a checker instance

  • DVT-10386 Do not allow checker instance in procedural blocks of a checker

  • DVT-10387 Do not allow checker instance in fork-join, fork-join_any or fork-join_none blocks

  • DVT-10388 Do not allow always construct in checkers

  • DVT-10390 Build config: Support for "-xmerror" and "-xmfatal" in ius.irun mode

  • DVT-10392 Add right-hand side expression text to for WIDTH_MISMATCH_* warnings

Bugfixes

  • DVT-9128 Extract to method doesn't work in initial/begin or always blocks

  • DVT-10044 First argument should be selected when inserting method with parameters from autocomplete

  • DVT-10110 Extract method refactoring does not infer arguments inside macros inside extracted code

  • DVT-10111 Sometimes editor highlight for show constraints hits inside `uvm_do_with is wrong

  • DVT-10113 Show UVM Components Diagram instead of FSM diagram on a Verification Hierarchy member

  • DVT-10148 The disable tooltip preference should not disable F2 action

  • DVT-10163 Wrong parameterized data type for argument when extracting to method

  • DVT-10213 Positional tooltips and content assist proposals don't work on read only files

  • DVT-10299 Extract method refactoring does not infer arguments from randomize with expressions

  • DVT-10383 Wrong checker port direction and type

  • DVT-10389 Hyperlink and tooltip on checker instance ports not working

  • DVT-10393 Conditions are empty on Windows in finite-state machine diagrams

  • DVT-10394 Quick Type Hierarchy on a task shows the type hierarchy of the enclosing class

17.1.21 (3 August 2017)

Performance

  • DVT-10241 Poor performance when Show Usages on method with many calls

  • DVT-10286 Incremental build degradation due to resolve port connections on entire design instead of changed modules (step 3)

  • DVT-10297 Sometimes editing is laggy due to frequent Inspect View updates

Features

  • DVT-10309 Quick Fix: Explicitly declare implicit signal

Enhancements

  • DVT-289 Ability to use the Quick Type Hierarchy view for constraint blocks

  • DVT-8969 Add support for variables set via +dvt_setenv in compile waivers <include/> tags

  • DVT-8974 Override methods dialog should always allow implementing new(), pre_randomize() and post_randomize()

  • DVT-10054 Build Config: Ability to use wildcards in incdirs

  • DVT-10094 Show join type in fork node labels in Call Hierarchy View

  • DVT-10126 Add more details for WIDTH_MISMATCH warnings

  • DVT-10185 Ability to waive a problem back to the originally reported severity

  • DVT-10265 Task tags in multi-line comments should only show the current line as Tasks View description

  • DVT-10303 Inspect View: Add _ and padding to base 2 and base 16 numbers

  • DVT-10344 Add support for project relative paths in compile waivers <include/> tags

Bugfixes

  • DVT-10182 Do not check unused modules (do not report errors/warnings)

  • DVT-10229 StackOverflowException when triggering show usages of a class function

  • DVT-10261 Wrong extern method signature when using simple typedef

  • DVT-10264 Wrong cannot assign input port error when using assign (weak1, weak0) pin = value;

  • DVT-10298 False NOF_PARAM_OVERRIDES error for parameterized interface

  • DVT-10326 False ILLEGAL_ASSIGNMENT semantic error for edge event

  • DVT-10327 UVM Sequence Tree doesn't show sequences defined with 'typedef'

  • DVT-10328 UVM Sequence Tree should look for the 'body' task along the inheritance line if not overridden

  • DVT-10331 UVM Sequence Tree doesn't show sequence node if it is an element in an array of sequences

  • DVT-10033 False ILLEGAL_ASSIGNMENT semantic error when connecting an unpacked array to an array of instances

  • DVT-10335 False UNDECLARED_IDENTIFIER semantic error in modules that are not instantiated from top

  • DVT-10347 StackOverflowException thrown when inner classes extends the enclosing parameterizable class

  • DVT-10348 Parser recovery when missing 'endfunction' before 'endclass' leads to semantic issues (classes that follows 'endclass' becomes inner classes)

17.1.20 (28 July 2017)

Features

  • DVT-10155 Expand selection to word, brackets and enclosing scopes

Bugfixes

  • DVT-4680 Autocomplete code template proposals should appear without writing any prefix

  • DVT-10245 Autocomplete should not suggest a keyword immediately after the same keyword

  • DVT-10300 Autocomplete is stuck "Loading..." proposals in Eclipse 4.7 (Oxygen)

  • DVT-10310 Module auto-instantiation: Add a whitespace between // and text when comments are preserved

  • DVT-10314 Autocomplete should not show duplicate proposals for modules

17.1.19 (27 July 2017)

Performance

  • DVT-10286 Incremental build degradation due to resolve port connections on entire design instead of changed modules (step 2)

  • DVT-10287 Check unused signals semantic step takes large amount of time for relatively small environment

Features

  • DVT-10180 Inspect View: Show numeric values in multiple bases for numbers, parameters and defines

  • DVT-10276 Add new distros based on Eclipse 4.7 (Oxygen)

Enhancements

  • DVT-10167 UVM Sequence Tree: Show node item specific information instead of just uvm_base_sequence.start_item()

  • DVT-10168 UVM Sequence Tree: Show more information about register operations

  • DVT-10277 Update all Eclipse 4.6 (Neon) distro plugins to the latest version

  • DVT-10284 Add support for non-standard "automatic" keyword in class variable declaration

Bugfixes

  • DVT-10099 Allow only alphanumeric characters in custom task tag names

  • DVT-10100 Suggest restart when changing DVT theme

  • DVT-10260 Wrong duplicate field in interface

  • DVT-10262 Wrong extern method signature mismatch when using virtual interface typedef

  • DVT-10263 Wrong illegal type reference to interface without using virtual when extern method signature doesn't match implementation

  • DVT-10268 Quick fix 'Declare field' inserts new field inside port list in module declaration

  • DVT-10272 DVT Debugger: sometimes irun in GUI mode crashes when breakpoints are set before starting the debug session

  • DVT-10281 Remove debug info printed in the console

  • DVT-10292 Content Filters not working on UVM Sequence Tree

  • DVT-10337 Wrong cannot assign input port error reported on first port occurrence instead of port assignment

17.1.18 (21 July 2017)

Performance

  • DVT-10002 Improve problems database serialization time

  • DVT-10226 Design hierarchy view optimization for binds and GUI filter algorithms

  • DVT-10235 Improve design diagram generation time for entities with many ports

  • DVT-10247 Incremental build degradation due to resolve port connections on entire design instead of changed modules

Enhancements

  • DVT-9469 Add predefined Console Filters for PowerArtist

  • DVT-9951 Add more debug information for "Timeunit directive should be first" errors

  • DVT-10120 Module auto-instantiation: Show library information for every proposal when there's more than one library

  • DVT-10133 Show compile index in Inspect View

  • DVT-10141 Semantic Coloring: Coloring does not start for files already opened when starting DVT

  • DVT-10156 Show the full path of a file when hovering over an editor tab

  • DVT-10214 Show Usages: Highlight the searched element background in the preview line

  • DVT-10251 Semantic support for non-standard modport wildcard task import

Bugfixes

  • DVT-8935 ViPlugin status bar information collides with scope information

  • DVT-9866 Skipped files decoration is broken after editing a build config file

  • DVT-10159 False EXTERN_METHOD_SIGNATURE semantic error triggered on incremental build when prototype and declaration are in different files

  • DVT-10188 Verissimo: Right clicking on a linting problem marker should also select the failure after opening the report

  • DVT-10200 Do not trigger SIGNAL_UNUSED warnings for signals local to generate branches

  • DVT-10216 Hyperlink not working in defaul.build when it is imported in a different build file

  • DVT-10218 DVT Auto-Linked throws exceptions in Eclipse 4.7 (Oxygen)

  • DVT-10228 After incremental build the included files are no longer shown in Compile Order View if a include is inserted above others

  • DVT-10232 Settings Management: NullPointerException when the platform shuts down

  • DVT-10236 False EXTEND_CLASS semantic error for constructor method when class extends a non-class type parameter

  • DVT-10252 Build config: update behavior of -ntb_opts directive (vcs.vlogan compatibility mode)

17.1.17 (12 July 2017)

Performance

  • DVT-10143 Slow incremental build in large files in environments with many enum types

Enhancements

  • DVT-8971 Trigger warning for multiple drivers of the same signal

  • DVT-10067 Add UVM sequence code template to predefined code templates

  • DVT-10093 UML Diagrams: Array indication in association edge labels

  • DVT-10129 Custom Dialogs: Add support for spinner and slider widgets

  • DVT-10162 Semantic Coloring : Color as type in parameterized type when there is a semantic error

  • DVT-10165 Add support for non-standard negation operator when compiling in VCS compatibility mode

  • DVT-10211 Refactor Add Port/Parameter/Generic: Infer name and type for new element if signal is selected in editor

Bugfixes

  • DVT-10008 Build Config: Internal error when specifying +dvt_compilation_root+$NON_EXISTING_ENV_VAR/some/path

  • DVT-10091 UML Diagrams: Apply content filters to node members

  • DVT-10105 Override wizard does not work for CamelCase prefixes

  • DVT-10109 Do not propose "Did you mean?" quick fix for virtual method prototype mismatch errors

  • DVT-10140 False ILLEGAL_ASSIGNMENT semantic error when connecting an unpacked array of a packed type to an unpacked array of a packed struct

  • DVT-10142 Report error for illegal assignments between different struct types

  • DVT-10181 Compilation optimization is not working properly when optimized file includes others

  • DVT-10201 New File Wizard is blocked by ClioSoft's SOS check-out dialog fixed by creating the file asynchronously

17.1.16 (30 June 2017)

Enhancements

  • DVT-9609 Add preference to jump to first search match in diagrams

  • DVT-9959 Ability to quickly autocomplete code template in New File Wizard

  • DVT-9972 Settings management: Add support for external tool log_styles.xml

  • DVT-9973 Show Usages: Highlight the searched element in the preview line

  • DVT-9995 UML Diagrams: Ability to customize default preferences

  • DVT-10024 Ability to set the location of distribution's Eclipse and JRE folders using DVT_ECLIPSE_HOME and DVT_JAVA_HOME

  • DVT-10037 UML Diagrams: Selecting a class member should also select the corresponding edge

  • DVT-10041 Allow multiple -top/+nctop+ directives set in the default.build

  • DVT-10042 Allow modules to be specified as -top/+nctop+ in the default.build

Bugfixes

  • DVT-7199 UML Diagrams: Cannot search for package name in select types dialog

  • DVT-9290 Views are not updated when maximized after the first full build on Eclipse 4.6 (Neon)

  • DVT-10040 Wrong compiled files when using +dvt_init_xilinx+UNISIM

  • DVT-10048 Finite-state machine diagram not generated inside generate block

  • DVT-10049 Quick fix: Declare variable doesn't compute the correct type for enum typedefs

  • DVT-10050 Quick fix: Declare variable should insert new declaration after action block label when in named action blocks

  • DVT-10051 Quick fix: Should not propose declare variable for sensitivity list UNDECLARED_IDENTIFIER

  • DVT-10052 Content assist sometimes throws BadLocationException

  • DVT-10056 StackOverflowException when calling UVM Sequence Tree

17.1.15 (16 June 2017)

Performance

  • DVT-10003 Performance: Improve incremental compilation time

  • DVT-10016 Performance: Improve incremental build on a file with a large compile index and containing many macro calls

Features

  • DVT-1170 & DVT-1338 & DVT-3358 UML Diagrams: Ability to show/hide members per type

  • DVT-6547 & DVT-7971 UML Diagrams: Support for interface classes

  • DVT-7510 UML Diagrams: Ability to pan and zoom

Enhancements

  • DVT-2730 UML Diagrams: Option to hide text of association edges

  • DVT-3102 & DVT-5540 UML Diagrams: Ability to jump from association edges to field declaration

  • DVT-6752 UML Diagrams: Ability to jump to members' declaration

  • DVT-7747 UML Diagrams: Array indication for members

  • DVT-9387 Quick fix: Did you mean should propose getter of local or protected field

  • DVT-9961 UML Diagrams: Ability to show method signature

  • DVT-9996 Stop semantic coloring if BadLocationException is generated

  • DVT-10006 Generate finite-state machine diagram for state values having the same type as the state variable

  • DVT-10017 Add support for non-standard "context" keyword used as struct member identifier

  • DVT-10022 Improved type calculation for Quick Fix/Assist

  • DVT-10030 Build config: allow mixed-case -CFlags directive in ius.irun compatibility mode

Bugfixes

  • DVT-8109 UML Diagrams: UVM base classes are not displayed correctly when Content Filters are activated

  • DVT-9386 Quick fix: Did you mean should add parentheses when replacing method calls

  • DVT-9736 Declare variable for x = array 0 where array is a 2 dimensional array declares the variable as an int

  • DVT-9986 User defined types are colored only in variable definitions

  • DVT-9993 Case item match expressions colored as labels

  • DVT-9997 Inconsistency in semantic coloring

  • DVT-9998 Build Config Editor: Bad syntax coloring for words containing -dvt

  • DVT-10000 External tools: Unbinding a shortcut does not release it for DVT Run Configuration use

  • DVT-10007 DVT Debugger: when using VCS, only the variables local to the current action block are visible in the Variables View

  • DVT-10026 Build config: -uselic directive should take one argument

  • DVT-10027 External tools: IllegalArgumentException thrown when setting a DVT Run Configuration shortcut to an incomplete key sequence

17.1.14 (12 June 2017)

Features

  • DVT-3921 Build config: Add support for -makelib of irun

  • DVT-5135 Syntax coloring: Ability to specify different styles for signals and ports

  • DVT-5728 Syntax coloring: Ability to specify style per semantic category or language constructs

  • DVT-8649 Syntax coloring: Ability to specify parameter style

  • DVT-9945 Syntax coloring: Ability to specify style per port direction

Enhancements

  • DVT-5486 Check format specifiers for system function calls

  • DVT-9380 Semantic check number of arguments for $psprintf system task

  • DVT-9408 Assignment between incompatible enum variables should trigger a semantic error

  • DVT-9987 Ask to make the waivers file writable or check it out when adding a Verissimo waiver from the GUI

Bugfixes

  • DVT-1335 Syntax coloring: Parameterized interface instances are not colored

  • DVT-8099 Syntax coloring: Named arguments of methods have same color as functions

  • DVT-9395 False duplicate generate name error when the enclosing module is compiled multiple times

  • DVT-9591 False width mismatch warning for bit select in unpacked array of multibit type (e.g. byte)

  • DVT-9607 Quick fix/assist don't work on read only files

  • DVT-9946 False METHOD_CALL_ARGUMENTS on non-standard predefined function backref() - should take one argument

  • DVT-9975 Trigger error when overriding parameter of parent class

  • DVT-9980 Autocomplete for a macro with arguments triggers a null pointer exception in Macro Call Arguments Mapping Tooltip

17.1.13 (31 May 2017)

Enhancements

  • DVT-9963 Check parameter overrides in extended class and implemented interfaces

Bugfixes

  • DVT-9903 Sometimes the DVT CLI shuts down after a period of inactivity

  • DVT-9921 Project Colors: Project Explorer labels in black/dark themes are broken

  • DVT-9962 False constraint expression syntax error when using a soft foreach expression

  • DVT-9968 Show Usages: Scope information not shown in Search View for hits in symlinked files

  • DVT-9969 False IO error when adding a Verissimo waiver in a file and a different included waivers file is not writable

  • DVT-9970 Cancel does not work in Syntax Coloring preference page

17.1.12 (26 May 2017)

Enhancements

  • DVT-9916 Ability to filter out parameters in Outline View

Bugfixes

  • DVT-9923 XVM Compliance HTML lint report is not opened after generation

  • DVT-9930 New Verissimo hit waiver is not automatically applied

  • DVT-9937 Incremental build doesn't clean library references

17.1.11 (19 May 2017)

Enhancements

  • DVT-9789 Generated methods should use the javadoc method code template (declare by quick fixes, override, etc.)

Bugfixes

  • DVT-9719 Declare method doesn't add the endfunction : label

  • DVT-9876 Editor title icon decorations not shown in split editor mode

  • DVT-9924 Build config: files compiled with -amscompilefile should obey extension to language syntax mapping

17.1.10 (15 May 2017)

Bugfixes

  • DVT-9842 Dark themes not applied on Verissimo report

  • DVT-9896 False UNDECLARED_MODULE warnings on cross-library referenced modules when loading a configuration

  • DVT-9889 False ILLEGAL_CAST_OPERATION semantic error when casting a child instance class to a parent class

  • DVT-9900 On some systems editor icons are missing when Project Colors are enabled

  • DVT-9914 NullPointerException thrown at startup when plain text files are opened and Project Colors are enabled

17.1.9 (2 May 2017)

Performance

  • DVT-9849 Instance port connections semantic checks take too long when instance names repeat often

Features

  • DVT-9620 Use distinctive editor icon colors for files in different projects

Enhancements

  • DVT-9362 Inspect View: Show line number in a left-hand side vertical ruler

  • DVT-9367 Inspect View: Open code snippet in editor by clicking the hyperlink on file name

  • DVT-9494 Refactor Add port should work cross-language

  • DVT-9534 Inspect View: Show physical file path and read-only status

  • DVT-9594 Inspect View: Double click on file paths to copy to clipboard

  • DVT-9595 Inspect View: Inspect elements in Trace Connections Path View

  • DVT-9608 Inspect View: Show expanded macros on macro calls

  • DVT-9694 Split MISSING_PORT_CONNECTION warnings based on the port direction

  • DVT-9770 'Add port/parameter/generic' and 'Update instance...' quick fixes should work cross-language

Bugfixes

  • DVT-6996 Outline View category sort does not sort properly different types of elements

  • DVT-9814 False UNDEFINED_OPERATOR when using an unpacked concatenation as argument to the 'new' constructor of a dynamic array

  • DVT-9832 False UNDECLARED_IDENTIFIER semantic error when accessing signals under generate if branches

  • DVT-9835 Quick fix: Declare enum should also work for non-hierarchical access

  • DVT-9841 Fix ternary operator false error when type casting its result to the left-hand type

  • DVT-9847 Finite-state machine diagram not generated in some cases when using a next state variable

  • DVT-9855 Duplicate ports in context information when module declaration uses select syntax

  • DVT-9858 Inspect View: Shows only one nature for multi-nature projects

  • DVT-9862 False UNDECLARED_OPERATOR semantic error in assignment of class whose parent implements an interface

  • DVT-9867 Fix NullPointerException thrown when using the covercross predefined type CrossQueueType

17.1.8 (18 April 2017)

Enhancements

  • DVT-7914 Build config: compile files specified using -amscompilefile "file:/path/to/verilog.v ..." as Verilog AMS

  • DVT-9236 Design Hierarchy View: show progress while updating with the ability to cancel

  • DVT-9813 False ILLEGAL_CAST_OPERATION semantic error when static casting a parent class instance to a child class

  • DVT-9816 Add support for bit select in weight specification

  • DVT-9818 Design Hierarchy View: ability to prepend the library to module and entity names

  • DVT-9819 All views: expand/collapse speed-up

Bugfixes

  • DVT-6114 Add to default build actions should honor revision control flows

  • DVT-8061 Checking out a file using the checkout hook doesn't change the read-only editor decoration

  • DVT-9763 Build config: for multiple -work directives in Questa compat modes, trigger warning(s) and only consider the last one

  • DVT-9787 Missing autocomplete proposals from base class in the case of fields of class type with rand qualifier

  • DVT-9792 False METHOD_CALL_ARGUMENTS when method definition is compiled an odd number of times in different files

  • DVT-9812 False METHOD_CALL_ARGUMENTS semantic error at incremental build

  • DVT-9822 Invalid thread access exception when selecting a diagram object

17.1.7 (10 April 2017)

Features

  • DVT-9738 Type checking in parameter overrides

  • DVT-9807 Type checking in instance port connections

Enhancements

  • DVT-9793 Ability to reference capturing groups for "replace-in-text" refactoring script items

Bugfixes

  • DVT-5861 Unable to refactor rename a SystemVerilog checker

  • DVT-6998 Templates inserted from the Code Templates view don't honor the "Insert spaces for tabs" preference

  • DVT-8227 Previous/Next in Problems View does not respect the displayed marker ordering

  • DVT-9454 Field editor should use `uvm_field_aa_int_* for int unsigned array type fields

  • DVT-9626 Icon color preferences are not applied after first platform restart

  • DVT-9651 Annotations not visible in dark themes

  • DVT-9742 Skip compile patterns don't work in Windows for certain paths containing only Linux separators

  • DVT-9778 Quick fix: Create missing file from Build Config Editor not working from Problems View

  • DVT-9783 False UNDECLARED_IDENTIFIER semantic error when using an interface modport in a typedef

  • DVT-9788 False METHOD_CALL_ARGUMENTS error when function declaration has arguments in an included file

  • DVT-9794 False syntax error for empty constraint block

  • DVT-9796 Specador: Go to element from global search does not work for mixed-language documentation

17.1.6 (31 March 2017)

Features

  • DVT-9584 New Quick Fix: Create missing file from Build Config Editor

Enhancements

  • DVT-7970 The method prototypes from the interface classes should be marked with a distinctive icon in the Outline View

  • DVT-9717 CamelCase autocomplete for directives in Build Config Editor

Bugfixes

  • DVT-9320 False METHOD_CALL_ARGUMENTS semantic warning when a typedef and a function have the same name in the same scope

  • DVT-9341 False SENSITIVITY_UNUSED semantic warning on signal used as an argument to a procedure call

  • DVT-9713 False ILLEGAL_ASSIGNMENT semantic error when the type of one of the variables is a class implementing multiple interfaces

17.1.5 (23 March 2017)

Performance

  • DVT-9700 Improved incremental build performance when multiple files changed (e.g. refactoring, revision control checkout, etc.)

Bugfixes

  • DVT-9571 False duplicate state value when the number format is different

  • DVT-9690 Content assist not working correctly after enter at the end of a sequential block

  • DVT-9692 Deleted variables are still available in auto-complete after incremental build

  • DVT-9697 False UNDEFINED_OPERATOR error when using logical operators with a real type operand

  • DVT-9698 False error reported in default.build when -top <lib_name>.<config_name> is specified

17.1.4 (20 March 2017)

Features

  • DVT-9262 Quick Fix: Inconsistent virtual method signature across class hierarchy

Enhancements

  • DVT-9604 Tooltip for positional module instantiations similar with function calls

  • DVT-9637 Support for multiple choice case items when determining values in finite-state machine diagrams

  • DVT-9662 Add support for the Shell Script Editor plugin when using the Moonrise DVT theme

  • DVT-9666 Add non-standard support for hierarchical method call in disable soft constraint expression

  • DVT-9677 Tooltip for macro call similar with function calls

Bugfixes

  • DVT-9266 Task tags are not collected from comments in macro definitions

  • DVT-9638 Sometimes transitions are multiplied when the initial state of a finite-state machine diagrams is missing

  • DVT-9648 +dvt_disable_rtl_checks directive ignored in incremental build (unused signal issues are reported)

  • DVT-9649 No MISSING_PORT_CONNECTION warning on module instantiation when adding a new port in the module declaration

  • DVT-9663 Double click no longer works on Types View members panel

  • DVT-9667 Quick Fix: "Did you mean" sometimes shows irrelevant proposals when nothing appropriate is available

  • DVT-9668 "dvt_plugin_installer.sh list" doesn't list any plugins when the system installed awk is mawk

  • DVT-9676 Quick Fix: Update module instance showing duplicate proposals for multiple NON_EXSITING_PORT semantic errors

  • DVT-9680 XVM Field Editor missing UVM_NORECORD flag

  • DVT-9683 No syntax error reported for missing semicolon in constraint blocks

  • DVT-9684 Text not visible in protected code when using the Black/Dark/Moonrise DVT themes

17.1.3 (14 March 2017)

Enhancements

  • DVT-7991 Layers View: for a method, show all interface classes defining it

  • DVT-8289 Auto-config: a user-specified incdir should take precedence when solving multiple candidates

  • DVT-9289 Autocomplete preprocessing compiler directives

  • DVT-9603 Report NON-STANDARD warning for a method call as a left-hand side of an assignment

  • DVT-9613 Unterminated statement before "end" in a "begin-end" sequential block breaks auto-complete

  • DVT-9616 Auto-config: should not propose incdirs in skipped locations

  • DVT-9621 Tooltip and hyperlink performance issues for large projects in files with many macros

  • DVT-9635 Generate finite-state diagrams for variable in class method

Bugfixes

  • DVT-8087 Exception triggered on first right click in Build Config Editor

  • DVT-9489 Skip compile patterns don't work in Windows for certain paths specifying drive letter

  • DVT-9586 Incorrect WIDTH_MISMATCH warning for unary logical operation

  • DVT-9593 XVM Field Editor should use `xvm_field_int macros for packed arrays

  • DVT-9598 XVM Field Editor should use `xvm_field_real for realtime fields

  • DVT-9602 False DUPLICATE_FIELD error after declaring a previously implicit signal

  • DVT-9614 False UNDEFINED_OPERATOR error when using 'inside' operator with an enum value left hand side

  • DVT-9634 GUI freeze on tooltip and/or inspect view when editor cursor placed on non-identifier characters (e.g ++, +=, etc.)

17.1.2 (3 March 2017)

Bugfixes

  • DVT-9559 Support for 'unique' constraint syntax

  • DVT-9566 Rename WIDTH_MISMATCH warnings to highlight the difference, either truncation, padding or rounding

  • DVT-9599 False NOF_PORT_CONNECTIONS semantic error for a bus split into two or more ports

17.1.1 (24 February 2017)

HIGHLIGHTS

  • Enhanced type checking. Now the compiler checks function call argument type matching, mismatched operand types etc, see Semantic Checks

  • Ability to generate finite-state machine diagrams, see Finite-State Machine Diagrams

  • Ability to search for text in diagrams

  • Ability to quickly inspect an element (class, function, signal etc.) when clicking on a name in the editor or selecting an element from a view, see Inspect View

  • Ability to customize icon colors, see Changing Icon Colors

  • Show scope information in the Search View

  • Tooltip for function parameters on autocomplete (similar to JDT/CDT)

  • Refactoring Scripts enhancements

  • Worth mentioning are the following features recently introduced in 16.* releases:

Features

Enhancements

  • DVT-1414 Tooltip for function parameters on autocomplete (similar to JDT/CDT)

  • DVT-8605 Shows more details at low zoom levels in the new diagrams engine

  • DVT-8821 Schematic diagram logic gates should show actual assignments between inputs and outputs

  • DVT-8987 Show scope information in Search View

  • DVT-9125 Ability to show Ctrl + click (hyperlink) actions using Ctrl + F3

  • DVT-9525 Refactoring scripts: ability to directly apply a script by right-click on a script.xml file

  • DVT-9526 Refactoring scripts: DTD based autocomplete and validation for script files in the XML editor

  • DVT-9527 Refactoring scripts: debug script execution using new +dvt_ref_scripts_debug directive

  • DVT-9528 Refactoring scripts: ability to add-comment only to declaration, usages or both

  • DVT-9529 Refactoring scripts: ability to refer the ${element-signature} in add-comment refactor items

  • DVT-9530 Refactoring scripts: ability to specify elements for add-comment based on their declaration comment

  • DVT-9542 Better tracing accuracy for determining signal drivers in processes

Bugfixes

  • DVT-9512 Views context menu should not contain "Show Constraints" for design instances and ports

  • DVT-9535 False EXTERN_METHOD_SIGNATURE warning when the argument default value is omitted in the out-of-block-declaration

16.1.37 (24 February 2017)

Enhancements

  • DVT-9448 Ability to specify Custom Dialog snapshots file location

Bugfixes

  • DVT-9415 Incorrect type solving for 'foreach' index variables of multiple dimensional associative arrays

  • DVT-9471 Incorrect number of arguments for method with virtual interface argument

  • DVT-9492 False ILLEGAL_TYPE_REFERENCE error for function return virtual interface

  • DVT-9503 Function call autocomplete should not insert virtual qualifier for arguments

  • DVT-9508 Add non-standard support for parentheses around a dist expression in a constraint block

16.1.36 (10 February 2017)

Enhancements

  • DVT-5747 Show cover assertions in Coverage View

  • DVT-9314 Show expect statements in Checks View

  • DVT-9316 Autocomplete: ordering of camel-case matched proposals should take into account the index of the matched segment

Bugfixes

  • DVT-9417 Code Formatting: Vertical alignment for macros with arguments breaks the macro declaration

  • DVT-9447 Extending a parameterized class and overriding a method with a queue parameter yields error

  • DVT-9459 Access label decorator doesn't work for certain views

16.1.35 (1 February 2017)

Enhancements

  • DVT-3478 Lint configurations with empty project names will run on the selected project

  • DVT-9390 Add begin ... end code template to predefined code templates

Bugfixes

  • DVT-8609 Using ${dvt_dialog_prompt} as argument in a CDT Run Configuration for a defined project throws an error if no project is selected

  • DVT-9328 XVM Field Editor should use `xvm_field_int macro for packed structs

  • DVT-9401 Lint configurations proposal dialog is showing the same configuration multiple times

  • DVT-9402 Cannot remove macro nodes from Call Hierarchy View

16.1.34 (13 January 2017)

Enhancements

  • DVT-2956 Verissimo: Add progress monitor for HTML report generation

  • DVT-9313 Enable support for `define macro(xxx, yyy = `other) ```yyy``_``xxx`` in questa.vlog compatibility mode

Bugfixes

  • DVT-8408 Content Filters don't work for path patterns ending with path separator and "*" in Windows

  • DVT-8410 & DVT-9323 Compile waivers patterns don't work in Windows

  • DVT-8522 Build log file is also generated in project root when +dvt_build_log_file_location+ is used

  • DVT-8523 Empty build log file when using +dvt_build_log_file_location+ directive on Windows

  • DVT-9273 Wrong METHOD_CALL_ARGUMENTS when accessing static variable inside a function

  • DVT-9345 Sometimes edit boxes are not created after applying update module instance quick fix

  • DVT-9347 Custom Dialog snapshots are not saved when there is no selected project

  • DVT-9351 Vertical alignment of module signal declarations should ignore typedefs

  • DVT-9352 Verissimo: Generated HTML report is not opened/refreshed if a previously generated report is already opened in the editor

  • DVT-9354 Build config: relative paths specified after +dvt_init in a file included with -F are not solved correctly

16.1.33 (3 January 2017)

Bugfixes

  • DVT-9336 Code Formatting: Vertical alignment of module signal declarations is not working inside generate blocks

  • DVT-9337 False error reported for coverpoints and cover crosses when calling "get_inst_coverage" and "get_coverage" with no arguments

  • DVT-9340 False error triggered for function overrides which return a subclass of the overriden function's return type

16.1.32 (22 December 2016)

Features

  • DVT-6614 Quick Fix: Update instance port connections when module declaration changes

Enhancements

  • DVT-9248 New semantic check: inconsistent virtual method signature across class hierarchy

  • DVT-9116 Show named action blocks in Call Hierarchy View

  • DVT-9321 Add support for non-standard select in covercross item name

  • DVT-9324 Predefined UVM Code Templates: Remove redundant semi after `uvm_* macros

  • DVT-9325 Predefined UVM Code Templates: Use "virtual" when overriding function/task

  • DVT-9326 Predefined UVM Code Templates: Use end labels for classes, functions, tasks, packages

  • DVT-9329 Predefined UVM Code Templates: Use protected access level for config objects

  • DVT-9330 Predefined UVM Code Templates: Misc fixes and adjustments of item, agent, monitor, driver and package templates

  • DVT-9331 Predefined UVM Code Templates: Rename uvm_transfer template to uvm_sequence_item for clarity

  • DVT-9332 Predefined UVM Code Templates: Add ifndef guards

  • DVT-9335 Predefined UVM Code Templates: Member variables should have "m_" prefix

Bugfixes

  • DVT-9067 Call Hierarchy View: Double click on method calls always goes to first call when multiple calls to the same method appear on the same line

  • DVT-9306 Quick fix: Cannot apply Create included file quick fix from Problems View

  • DVT-9311 Unimplemented pure methods semantic errors not recomputed on incremental

  • DVT-9312 Build config: -vcs_assert directive should take one argument

  • DVT-9317 Predefined Code Templates: Wrong %id processing

  • DVT-9319 Sometimes 'Cannot open `include file <filename>' errors persist after applying the create file quick fix

16.1.31 (9 December 2016)

Features

  • DVT-7838 Add support for interface classes in Quick Type Hierarchy view

  • DVT-8836 Add support for interface classes in Type Hierarchy view

  • DVT-8889 Quick Fix: create file for non existent included files

Enhancements

  • DVT-8372 Variable declared using Quick Fix should be indented accordingly and declared last if other variables are already declared

  • DVT-8594 Questa compatibility mode: -L $MTI_HOME/<uvm_lib> should dictate what UVM version to compile

  • DVT-8735 Auto-complete function/task call arguments with same name and same type should also search in parent classes

  • DVT-8974 Override methods dialog should always allow implementing new(), pre_randomize() and post_randomize()

  • DVT-9131 Ability to skip a header comment candidate that matches a simple pattern or regex when using +dvt_extract_comment_header+

  • DVT-9217 Extract to method refactoring: warn user when extracting a task with output argument assignments followed by time consuming actions

  • DVT-9235 Suggest using Quick Views when maximizing editor / switching from an editor to a view filter

  • DVT-9255 Provide `include "<autocomplete>" (inside string)

  • DVT-9275 Trigger an error when the list of arguments passed to a parameterized class doesn't match the class definition

  • DVT-9299 The "Only consecutive lines" preference should apply to all vertical align patterns

Bugfixes

  • DVT-9196 Methods overridden using auto-complete or the override wizard are missing unsigned modifiers from the signature

  • DVT-9274 Only show format specifier autocomplete after % character

  • DVT-9281 `protect `endprotect background highlighting not working for files compiled an even number of times

  • DVT-9285 UVM reuse across invocations does not work in questa.vlog compat mode

  • DVT-9291 Wrong compilation error when using '&&' in ignore_bins

  • DVT-9298 Sometimes Stack Overflow exception is thrown when saving a file

  • DVT-9303 Unable to connect existing port if preceded by other unconnected ports

16.1.30 (24 November 2016)

Features

  • DVT-7389 CDT Integration: Add predefined gcc filters for external tools integration

  • DVT-7926 Show indication for overridden constraints in Views

  • DVT-8771 CDT Integration: Add simulator specific gcc incdirs and defines per compatibility mode

  • DVT-8772 CDT Integration: Auto-Link C/C++ files included from outside the project

  • DVT-8894 Quick Fix for non existing parameter in module instantiation - declare parameter

Enhancements

  • DVT-9233 Updated list of built-in compiler directives

Bugfixes

  • DVT-8684 Override function using autocomplete duplicates function type when already inserted

  • DVT-8952 Split function should not be available on extern function implementation without prototype

  • DVT-9165 Implement missing pure virtual functions quick fix should not call super

  • DVT-9195 Constraint override indication should be 'override' instead of 'shadows'

  • DVT-9246 Incorrect UNDECLARED_IDENTIFIER error for named generate block used in bind directive signal

  • DVT-9250 Incorrect NON_EXISTING_PORT error for port added by quick fix

  • DVT-9252 Do not declare implicit signal for potential function call in port connection

  • DVT-9269 Hyperlink not working for inside range element when the expression is a local variable

  • DVT-9270 Inconsistent dot star (.*) port connection error on a bound instance for a signal declared implicitly

16.1.29 (14 November 2016)

Features

  • DVT-7922 Refactoring: Add parameter to Verilog module

Enhancements

  • DVT-8058 Launching the debugger should not fail if the *.launch file is read-only

  • DVT-8328 Add Copy Full Path action in Search View context menu

  • DVT-9097 Declare method() as virtual when quick fixing undeclared function on super.method() call

  • DVT-9099 Editor context menu: add Show > Usages and sort entries alphabetically

Bugfixes

  • DVT-5754 "Show Direct Changes" filter not working in the Connect Instances Toolbar

  • DVT-9166 Vertical alignment of class variable declarations should ignore typedefs

  • DVT-9211 Quick fix 'Declare field' inserts new field inside instance declaration

  • DVT-9218 Null pointer when updating Verification Hierarchy View (rare race condition)

  • DVT-9219 Verissimo: Lint With -> Custom Ruleset doesn't show the Lint Configurations Dialog when used on a folder

  • DVT-9227 Vertical alignment of consecutive lines using patterns should skip regions with code

  • DVT-9221 Error is shown when *_prompt variables are used in a launch configuration and the dialog is canceled

  • DVT-9230 Create project from template using the dvt_cli.sh does not work

  • DVT-9231 Wrong built-in uvm_object code template

  • DVT-9234 "Premature end of file" exception at startup breaks hyper-links and colors in the console (DVT build / Run configurations / External builders)

16.1.28 (2 November 2016)

Enhancements

  • DVT-9138 Differentiate between declaration and instantiation in outline filters

Bugfixes

  • DVT-9187 Quick Macros and References in Current File have conflicting key bindings, changed Quick Macros to Shfit + Ctrl + D

  • DVT-9197 Saving ViPlugin preferences in user area can lead to OutOfMemoryError due to UTF characters duplication

  • DVT-9203 Patched potential platform start-up deadlock (patch for Eclipse Neon 4.6.1-4.6.2, Eclipse Bug 502095)

16.1.27 (28 October 2016)

Features

  • DVT-9115 New hyperlink: open super implementation of a constraint

  • DVT-9149 Quick Fix: Add 'virtual' keyword in front of interface reference for ILLEGAL_TYPE_REFERENCE semantic errors

  • DVT-9188 Add closeFile command to DVT CLI

Enhancements

  • DVT-6249 Cannot set top Verification Hierarchy when .dvt/build.config.xml is not writable

  • DVT-8243 Module auto-instantiation: option to sort port connections by name

  • DVT-8586 Module auto-instantiation: option to use logic instead of wire

  • DVT-8608 Module auto-instantiation: option to disable adding module parameters

  • DVT-9141 Increase the incremental compilation default limit of max number of lines (change from 7k to 15k)

  • DVT-9142 Add an indication in Problems View that there are errors in files outside the project

  • DVT-9150 Add semantic error for illegal types reference on variable declaration (e.g. module, checker, program, primitive, etc.)

  • DVT-9160 Add non-standard support for `ifdef, `ifndef, `elsif not followed by an identifier

  • DVT-9176 Set top for Verification Hierarchy / Design Hierarchy when build_config.xml is not writable should trigger a notification

Bugfixes

  • DVT-6249 Cannot set top Verification Hierarchy when .dvt/build.config.xml is not writable

  • DVT-8797 Adding types to a UML diagram triggers Content Filters

  • DVT-8832 Mixed mode semantic should not resolve SystemVerilog class field type to VHDL entity

  • DVT-9119 Filter duplicate import or qualify proposals for type available in multiple libraries

  • DVT-9120 Wrong DUPLICATE_FIELD semantic error when using multiple port reference in module port list

  • DVT-9129 Compilation stops after unexpected character sequence starting with '0xFFFD'

  • DVT-9148 Tooltip for covergroup 'new' implicit constructor showing class constructor

  • DVT-9151 Missing wrong number of arguments semantic error when recursively calling function

  • DVT-9152 Remove buttons don't work on formatting preferences indentation page

  • DVT-9154 False METHOD_CALL_ARGUMENTS error when calling the index method of an iterator with no args

  • DVT-9155 False UNDECLARED_IDENTIFIER semantic error in randsequence productions with arguments

  • DVT-9172 False SIGNAL_NEVER_USED semantic warning for AMS signals used as arguments of nature access functions

  • DVT-9173 False SENSITIVITY_MISSING semantic warning in AMS analog block triggered by driver_update(...)

  • DVT-9181 Sometime the incremental build remains in an inconsistent state due to NullPointerException

  • DVT-9186 False EXTERN_METHOD_SIGNATURE semantic error when prototype and declaration are using different style to declare arguments

16.1.26 (17 October 2016)

Enhancements

  • DVT-9095 Report semantic error on attempt to derive a class from a type other than a class type

  • DVT-9101 Changed semantic error message for unknown identifiers in typedef and associative arrays declarations

  • DVT-9104 Code Formatting: Ability to specify "open scope" and "close scope" macros to control indentation

  • DVT-9111 Wrong number of method arguments not reported when method has default value for first argument but not for the second and is called with 1 argument

Bugfixes

  • DVT-6628 Library spec is not correctly interpreted when using parallel lexing-parsing mode

  • DVT-7803 Wrong syntax/semantic error triggered on typed constructor call

  • DVT-8054 False override indication for local fields or methods in child classes

  • DVT-8600 False error in Field Editor for virtual classes registered with *_field_utils_begin/end macros

  • DVT-9105 Project restores with errors after linting

  • DVT-9112 Build config: in ius.irun mode, libdirs (-y) specified with relative paths are not solved correctly

16.1.25 (9 October 2016)

Performance

  • DVT-9074 Improved performance of the Design Hierarchy View for large designs with many binds

  • DVT-9089 Applying compile waivers after incremental build takes a significant amount of time on large environments

Enhancements

  • DVT-9051 Add '_' prefix to problems reported on incrementally compiled files that are not compiled during full build

  • DVT-9068 Call Hierarchy View: Ability to expand multiple levels

  • DVT-9091 Missing squiggle for NON_EXISTING_TYPE semantic error on module ports

Bugfixes

  • DVT-8822 Ability to open a trace diagram on a selected schematic diagram connection

  • DVT-8835 Semantic search for references doesn't work with wild-cards

  • DVT-9059 Incorrect name for anonymous generate blocks defined inside a vunit

  • DVT-9062 Call Hierarchy View: Show argument calls before the function call in the call hierarchy

  • DVT-9064 Trigger semantic error for undeclared fields used in conditional statements inside randomize with calls

  • DVT-9073 Bind instances are sometime doubled in Design Hierarchy View after incremental compile

  • DVT-9075 False ILLEGAL_INTERFACE_REFERENCE semantic error for virtual argument followed by argument with specified direction

  • DVT-9081 Source code formatting wrongly indents large macro definitions in large regions of code

  • DVT-9076 Call Hierarchy View: Implicit function name used to return a value in a function is shown as a (recurrent) function call

  • DVT-9077 Call Hierarchy View: Functions calls of a parameterized class are shown as potential function calls

  • DVT-9082 Quick assist 'Join extern function' should not compute return type for 'new()' constructor

  • DVT-9083 Quick assist 'Join extern function' should preserve the 'virtual' keyword

16.1.24 (22 September 2016)

Features

  • DVT-8105 Quick Fix: "Did you mean" suggestion for UNDECLARED_IDENTIFIER

  • DVT-8325 New Hyperlink: Jump to case branch

  • DVT-8442 Autocomplete format specifier in strings

  • DVT-8783 New Hyperlink: Open childS implementation on a function with multiple overrides pops up Quick Type Hierarchy View

  • DVT-8890 Quick Fix: Add port for non existing port errors

Enhancements

  • DVT-1238 Support folding port and parameter lists for design element instances

  • DVT-8981 Add code template for class with constructor

  • DVT-9024 Code Formatting: Ability to disable trim of whitespace lines

  • DVT-9028 Add project_name or workspace prefix indication in Search View label

  • DVT-9038 Support folding and editor range indicator for always blocks

Bugfixes

  • DVT-8848 Open declaration on an argument in an extern method implementation should jump to the extern declaration of the argument

  • DVT-8849 Show usages sometimes collapses hits on same line

  • DVT-8988 Upgrade default UVM version ($DVT_UVM_HOME) to uvm-1.2

  • DVT-9011 UVM reuse across invocations does not handle +incdirs properly in questa.vlog compat mode

  • DVT-9041 Quick fix doesn't work from Problems View any more

  • DVT-9042 Build config: file after -sva directive is ignored

  • DVT-9043 Build config: -ovl directive should take one argument

  • DVT-9044 Hierarchy view not correctly updated after removing class parent

  • DVT-9045 Suppress messages "First launcher.execute()" from ClearCase plugin (patch for distro ClearCase plugin)

  • DVT-9046 Revert to the old Perforce plugin version (2015) in DVT distros

  • DVT-9049 Incorrect MISSING_PORT_CONNECTIONS semantic warning for a mixed-language instance when the port name is specified in a different letter case

  • DVT-9050 The trace signal operation should take into account configurations when resolving instance types

  • DVT-9054 Show Constraints doesn't show foreach loop constraints

  • DVT-9056 NPE in Show Constraints Search View

16.1.23 (14 September 2016)

Performance

  • DVT-9030 Resolve of instances and port connections after full build on multiple threads

Features

  • DVT-8965 Add line background highlighting for `protect ... `endprotect code regions

Enhancements

  • DVT-8816 Trigger semantic warning/error when failing to bind an instance (e.g. binding path/module are incorrect)

Bugfixes

  • DVT-9029 Remove debug messages from the terminal where the application is launched

  • DVT-9033 Incorrect ports shown in the Design Hierarchy View for some modules resolved through a configuration

  • DVT-9034 Perforce plugin included in the distribution is not starting after the last update

16.1.22 (12 September 2016)

Performance

  • DVT-9012 Incremental semantic checks on big environments is very slow (due to slow dependency analysis)

  • DVT-9016 Reduced the memory footprint of classes, lists and arrays

Features

  • DVT-2545 SystemVerilog function/task Call Hierarchy View

Enhancements

  • DVT-8878 Update all distro plugins to the latest version

  • DVT-8959 Enhance UNDECLARED_IDENTIFIER error message when calling 'super' from a function in a class with no parent

  • DVT-8990 Trigger syntax error for mismatched labels in a begin-end generate block

  • DVT-8991 Trigger syntax error for specifying an end label to an unnamed begin-end generate block

  • DVT-8994 Changed Trace Connections preferences texts to positive logic

  • DVT-8997 Trigger syntax error for expression after randomize() 'with' call

  • DVT-8999 Add new distros based on Eclipse 4.6 (Neon)

  • DVT-9000 Trigger semantic error when overriding built-in class methods (randomize, srandom, ...)

  • DVT-9004 Extend C like preprocessing to support #include

  • DVT-9015 Check if files in "gray editors" became part of the compilation after rebuild and reload them

  • DVT-9019 Prompt to save out-of-project files before rebuild

Bugfixes

  • DVT-8714 Sometimes the History View is not showing anything in perspectives not contributed by revision control plugins (Eclipse Bug 471782, fixed in Eclipse 4.6 distros)

  • DVT-8948 Specador: when testbench classes reside under a program, they are not available in the main index

  • DVT-8960 Quick fix should not propose to declare 'super' as field/variable/argument

  • DVT-8992 False semantic error at incremental build when the parent class has its package scope specified

  • DVT-8993 Wrong METHOD_CALL_ARGUMENTS semantic error when calling queue predefined method sum with iterator argument

  • DVT-9002 Check instance port connections when incrementally changing the module definition in a different file

  • DVT-9014 False NON_EXISTING_TYPE semantic error when passing a modport to a specialized parent class

  • DVT-9020 Fixed duplicate error red underlining for named begin-end blocks and named generate blocks

16.1.21 (26 August 2016)

Performance

  • DVT-8985 Reduce the memory footprint of the Design Hierarchy View

Features

  • DVT-8869 Trigger errors when `protect and `endprotect pairs are crossing syntactical scopes

  • DVT-8957 Semantic check: interface method argument type should be preceded by 'virtual interface' keywords

  • DVT-8961 Semantic check: semantic error when calling non-static class members in a static way (using '::')

  • DVT-8977 Build config: add +dvt_skip_protected_code directive for ignoring code between `protect and `endprotect pragmas

Enhancements

  • DVT-8090 Build config: add support for specifying environment variables in TCL syntax $env(VAR) or $::env(VAR) and Makefile syntax $(VAR)

  • DVT-8790 Build config: demote to warning -top / +nctop specified multiple times

  • DVT-8924 Build config: -syncall -sva -maxlevels -zlib directives should take one argument

  • DVT-8826 Refactoring scripts: ability to skip replacements inside words matching specific patterns

  • DVT-8938 Inhibit never user/read/written warnings on covergroups/events in modules

  • DVT-8962 Show re-defined/undefined macro name in Layers View

  • DVT-8963 Code Formatting: Ability to vertically align variable declarations in interfaces

Bugfixes

  • DVT-8436 Build config: unable to locate paths crossing a forward symlink with an updir reference

  • DVT-8866 Build config: compile .h files as Verilog in vcs.vlogan compatibility mode

  • DVT-8927 Show usages on pure virtual method in parameterizable class doesn't work

  • DVT-8941 Stack overflow when resolving interface class parent prefixed by the class scope

  • DVT-8978 Support for nested generate case items

  • DVT-8979 Determining the implicit name of an unnamed generate block should take into account fields declared after it

  • DVT-8980 The antecedent label of a sequential or parallel block is not recognized when set before the procedural timing control

  • DVT-8982 Autocompleted method calls shouldn't have linked edit boxes for arguments with same name

16.1.20 (12 August 2016)

Performance

  • DVT-8755 Settings Management: Slow down in editor operations (the preferences are now saved in user's preferences.ini only when DVT is closed or by using Window -> Settings Management -> Force Save Preferences)

  • DVT-8917 Cancel state checking leads to semantic performance degradation on large environments

  • DVT-8918 Cancel state checking leads to views update performance degradation on large environments

  • DVT-8922 Tooltip/hyperlink is very slow in a file containing more than 20k defines

Features

  • DVT-8529 Added a new DVT color theme inspired from Moonrise color theme

Enhancements

  • DVT-8906 Semantic check: missing scope resolution operator for extern function when the return type is defined within the class

  • DVT-8913 Custom Dialogs: add support for +dvt_setenv+ defined variables in Files Listing widget

  • DVT-8920 Add support for auto-linking user-specified files using +dvt_auto_link_file+<path/to/file>

  • DVT-8921 Give a more detailed message for METHOD_CALL_ARGUMENTS semantic error (include function name, for waiving)

Bugfixes

  • DVT-7948 Settings Management: Job starts while running an external builder preventing any tool interaction

  • DVT-8040 Settings Management: Doesn't handle hierarchical preferences

  • DVT-8756 Settings Management: NullPointerException when the platform shuts down

  • DVT-8899 Confusing METHOD_CALL_ARGUMENTS semantic error in the context of duplicate functions

  • DVT-8912 False non existing type errors on calls to undefined macros

  • DVT-8915 Wrong squiggle for ILLEGAL_PROTECTED_ACCESS/ILLEGAL_PRIVATE_ACCESS semantic errors

  • DVT-8919 Enable printing on DVT Linux distributions based on Eclipse 4.4 and 4.5

  • DVT-8926 False MISSING_PORT_CONNECTION warning for referenced ports when ordered connected

  • DVT-8928 Wrong squiggle for EXTERN_TASK_UDECLARED/EXTERN_FUNCTION_UDECLARED semantic errors

  • DVT-8929 Wrong build config error triggered when module name is specified using -top/+nctop+ directive

  • DVT-8932 Wrong method signature when prototype and implementation arguments name differ

  • DVT-8934 Wrong nettype specified inside UNDECLARED_IDENTIFIER message body for port access (type 'wire undeclared_type' is undeclared)

16.1.19 (5 August 2016)

Features

  • DVT-5995 Quick fix access to private/protected API

  • DVT-8868 Add red underlining for syntax errors

Enhancements

  • DVT-8189 Override dialog option to generate methods as extern declaration and implementation pair

  • DVT-8867 Add preference to automatically generate a diagram after tracing a signal

Bugfixes

  • DVT-7796 Trace connections should take into account SystemVerilog net aliases

  • DVT-8877 False METHOD_CALL_ARGUMENTS semantic error on predefined constraint_mode function call

  • DVT-8881 Wrong newline auto-indentation after virtual interface <my_interface> vif

  • DVT-8897 Quick fix: Implement extern function doesn't preserve the 'virtual' keyword for arguments

  • DVT-8904 False UNDECLARED_IDENTIFIER error for signals used in a net alias

  • DVT-8905 False MISSING_PORT_CONNECTION warning for referenced ports

16.1.18 (25 July 2016)

Features

  • DVT-2489 Semantic Check: Different number of arguments in method invocation

Enhancements

  • DVT-5904 Show default arguments value in tooltip and content assist

  • DVT-6837 Code Formatting: Ability to vertically align only consecutive lines

  • DVT-8769 In ius.irun compatibility mode -ams build configuration directive doesn't work for Verilog

  • DVT-8776 Build config: ability to undefine a preprocessing symbol using +dvt_undefine+<DEFINE_NAME>

  • DVT-8808 Ability to cancel the build process during build configuration analysis phase

  • DVT-8827 Add +dvt_auto_snps_vip_macros and +dvt_auto_snps_vip_waivers build config directives

  • DVT-8830 Show total number of word occurrences in a file when hovering a match annotation marker in the right vertical bar

  • DVT-8847 Build config: add support for -defineall directive

  • DVT-8851 Verissimo: Run selected ruleset using right click -> Lint As -> Verissimo Custom Ruleset Lint

  • DVT-8852 Support for 'wreal' extension mode (-realport, -wreal <res_def> flags in vcs.vlogan compatibility mode, etc.)

Bugfixes

  • DVT-8812 Waiving from Problems View context menu does not work when waivers.xml is opened and dirty

  • DVT-8837 False SIGNAL_NEVER_READ semantic warning triggered for one hot FSM state variable

  • DVT-8838 DVT external builders defined in shared preferences should inherit the enabled/disabled state

  • DVT-8840 False syntactic error triggered when using "interconnect" port net type declaration

  • DVT-8846 False DUPLICATE_FIELD semantic error triggered when using module port reference

  • DVT-8853 False syntactic errors in pure AMS files when both SystemVerilog and AMS modes are enabled (-sverilog -ams)

  • DVT-8856 Sometime the UNDECLARED_IDENTIFIER error message does not print the 'type 'X' is undeclared' even if the type is not declared

16.1.17 (15 July 2016)

Enhancements

  • DVT-8784 Add `wrealXstate and `wrealZstate predefined API in vcs.vlogan compatibility mode

  • DVT-8785 Add non-standard support for reverse() function on predefined scalar types

  • DVT-8786 Support for both SystemVerilog and AMS syntax in the same time when vcs.vlogan or questa.vlog compatibility mode

  • DVT-8795 Code Formatting: Add option to ignore lines starting with specified prefixes

  • DVT-8798 Port connections checks should apply for cross-language instantiations prefixes

  • DVT-8802 Add non-standard support for partition clause in configurations

  • DVT-8820 Change "type of 'field_name' is undefined" to "type 'type_name' is undefined'" when reporting UNDECLARED_IDENTIFIER semantic error"

Bugfixes

  • DVT-8787 Autocomplete should propose the enum values after enum type name followed by "::" (e.g. enum_type_name::ENUM_VAL)

  • DVT-8788 Typedef array fields don't appear in association diagrams

  • DVT-8803 False semantic error on static access to package_name::class_name::static_event_name

  • DVT-8805 Support for localparam declaration in module parameters list (according to 1800-2012 LRM)

  • DVT-8806 False UNEXPECTED_IDENTIFIER semantic error when incremental on class extending type parameter

  • DVT-8807 Declaration of localparam in interface class and paramset (AMS) triggers false syntax error

  • DVT-8817 Open super implementation does not work for tasks and constructors

  • DVT-8818 Hyperlink/tooltip/content assist not always working for module instance port connection (e.g .port_name())

16.1.16 (8 July 2016)

Features

  • DVT-3267 Quick Fix: import type or fully qualify type access for NON_EXISTING_TYPE errors

  • DVT-8161 New hyperlink: open super implementation of a method

Enhancements

  • DVT-7379 Trigger warning for missing instance port connection

  • DVT-8135 Check that executed script is part of the same distribution where $DVT_HOME points to

  • DVT-8524 For an instance show type and enclosing module in the status bar

  • DVT-8695 False SIGNAL_NEVER_USED warning for signals used in "randomize () with" constructs

  • DVT-8758 Trigger DUPLICATE_PORT semantic error for two or more ports with the same name

  • DVT-8777 Add support for non-standard misplaced attribute instance in port declaration

Bugfixes

  • DVT-8689 No tooltip/hyperlink/content assist on variable inside concatenation operator

  • DVT-8669 Wrong highlight for module type with parameters in a module instantiation

  • DVT-8715 DVT external builders defined in shared preferences should not be disabled in new projects

  • DVT-8757 Wrong syntax coloring for `elsif statement in the DVT dark theme

  • DVT-8760 Matching `endif not recognized inside macro definition if preceded by non-whitespace character

  • DVT-8761 CDT builder is disabled when the project is configured using DVT

  • DVT-8767 Wrong NON_EXISTING_TYPE semantic error when field name and field associated type package scope name are the same

  • DVT-8779 Demote extern method prototype and implementation consistency check to warning on array width mismatch (for arguments and return type)

  • DVT-8782 Package imports are not handled incrementally when incremental optimized mode

16.1.15 (30 June 2016)

Features

  • DVT-8008 Quick fix to update method prototype when the implementation has changed

  • DVT-8574 Refactoring rename in file

  • DVT-8696 Refactoring split function into prototype and extern declaration

  • DVT-8697 Refactoring join function with extern declaration

Enhancements

  • DVT-7131 Check arguments of dvt_cli.sh -p4 and fail if mandatory ones are not specified or empty

  • DVT-7230 Add support for non-standard syntax queue method pick()

  • DVT-7688 Add support for non-standard syntax string.search("text")

  • DVT-7821 Verissimo: Add Basic Ruleset

  • DVT-7996 Show randomize among the autocomplete suggestions when trying to access the fields of an interface class instance

  • DVT-8490 Class Diagrams: UVM predefined content filters should also apply to inheritance and association relations

  • DVT-8708 Issue SIGNAL_NEVER_USED semantic warning for unused genvar

Bugfixes

  • DVT-2895 Tooltip for localparam should specify "localparam" and value

  • DVT-7051 Project templates engine should not scan binary files

  • DVT-7202 UML Diagram classs selection dialog is not resizable

  • DVT-7980 Shortcut conflict between "Macro Collapse Inline Expansion" and "Toggle Split Editor"

  • DVT-7997 Error is shown when trying to access randomize for an interface class handle

  • DVT-8108 Update icons documentation for the Trace Connections view

  • DVT-8338 False SENSITIVITY_UNUSED semantic warning when signal used as function call argument

  • DVT-8683 Wrong linter waiver created for multi-line messages

  • DVT-8725 Auto-complete function/task should also infer arguments with same name and same type in recursive calls

  • DVT-8726 False EXTERN_METHOD_SIGNATURE semantic error after project restore when using unpacked array argument

  • DVT-8739 Quick assists not proposed when quick fixes are also available

16.1.14 (17 June 2016)

Enhancements

  • DVT-8196 Semantic check: extern method prototype and implementation signature consistency

  • DVT-8433 Auto-complete function/task arguments with name and type-matching local variables

Bugfixes

  • DVT-8421 IUS predefined Console Filters do not match randomization failures

  • DVT-8682 Added Questa note Console Log filter

  • DVT-8687 False DUPLICATE_FIELD warning reported when specifying both type and discipline of a signal (Verilog-AMS)

  • DVT-8691 Unable to autocomplete hierarchical identifier as function call argument

  • DVT-8692 OVM2UVM migration fails with "File out of sync" when refactoring touches a symlink and its target

  • DVT-8700 False UNDECLARED_IDENTIFIER semantic error after loop index of an associated array (wrong type of index)

16.1.13 (10 June 2016)

Features

  • DVT-8519 Quick fix undeclared method - create method in class

  • DVT-8624 Quick fix for extern function not implemented

Enhancements

  • DVT-6235 `default_nettype is not proposed by autocomplete

  • DVT-6922 Trigger syntax error when return value in a 'void' function or task

  • DVT-8521 Trigger non-standard warning when using implicit data type in typedef

  • DVT-8686 Add Verilog-AMS extension (.vams) to the New DVT File Wizard drop-down list

Bugfixes

  • DVT-8661 False semantic error for member of inner class passed as value to parameter type

  • DVT-8662 Inactive code highlight for `ifdefs is broken when single line `ifdefs in file

  • DVT-8663 Wrong syntax error reported when using the optional second argument of timeunit declaration (e.g. timeunit 100ps/10fs)

  • DVT-8676 dvt_cli.sh quit command should do nothing if DVT isn't already running

16.1.12 (1 June 2016)

REMOVED

  • DVT-8434 Remove "Trace in Subinstances" operation

Enhancements

  • DVT-5075 Changed "Trace All in Full Hierarchy" to "Show Full Hierarchy" in Trace Connections view

  • DVT-7733 Add From Project Template: ability to overwrite existing file

  • DVT-8654 Double-clicking on an instance in the Verification Hierarchy view should take you to the corresponding create call statement

Bugfixes

  • DVT-8618 Update inactive code indication when switching active build config

  • DVT-8643 Run configuration launched from another run configuration throws an error

  • DVT-8648 Search for bound interfaces when trying to resolve the port connection signals of a bound interface

  • DVT-8655 The Verification Hierarchy view should take into account create call statements unassigned to a field

  • DVT-8656 Incorrect verification hierarchy constructed when a create call is assigned to a field of the parent class

  • DVT-8657 ConcurrentModificationException thrown while SVN updates the cache for project with linked resources

16.1.11 (23 May 2016)

Features

  • DVT-3277 Refactoring extract function/task

  • DVT-6927 Add questa.vlog/questa.vhdl compatibility modes

Enhancements

  • DVT-7113 In Questa compatibility mode, -y libdirs should also be used as incdirs

  • DVT-8578 Trigger semantic error for undeclared parameter in module instantiation

  • DVT-8622 Inactive code highlight does not work for single line `ifdefs

  • DVT-8629 Issue warning in build log when there are compiled but unused configurations (suggest to add -top/+nctop+ <config_name> directive in default.build)

  • DVT-8631 Trigger error if multiple -top/+nctop+ directives are used in same invocation

  • DVT-8634 Show enum name range indication in Types View

  • DVT-8637 Support for multiple selection in ClassSelector widget in a Custom Dialog

Bugfixes

  • DVT-8280 False SIGNAL_NEVER_WRITTEN semantic error when signal is used in system function calls (E.g. $sformat)

  • DVT-8627 Semantic checking doesn't resolve instances bound to modules defined in multiple libraries

  • DVT-8630 Specifying -top/+nctop+ <config_name> directive has no effect if the <config_name> is not the last defined configuration name

  • DVT-8632 False sensitivity list warnings in always_comb/always_ff/always_latch blocks

  • DVT-8633 Tooltip enum value not correctly computed when the enum range has initial value

16.1.10 (16 May 2016)

Enhancements

  • DVT-8495 Ability to disable type compatible argument for function call proposals

Bugfixes

  • DVT-8593 False SIGNAL_NEVER_WRITTEN warning instead of SIGNAL_NEVER_USED if element with the same name is used in for initialization

  • DVT-8595 False EXTEND_CLASS semantic error when class defined inside parameterized module (the class extend an imported package class)

  • DVT-8596 Tooltip queue 'insert' function argument 'item' is of wrong type

  • DVT-8610 No local history entry is saved when reloading a file modified outside of Eclipse

  • DVT-8619 Large letter spacing on Windows when using the new diagrams engine (patch for Eclipse 4.5.2)

16.1.9 (9 May 2016)

Features

  • DVT-6548 Quick fix for unimplemented pure virtual functions

Performance

  • DVT-8575 Platform lag when view content (Type, Outline, etc.) is updated with thousands of elements

  • DVT-8576 Platform lag and slow filtering of elements in Outline View (content filter)

  • DVT-8583 DVT-Settings slows down the platform while using features that temporally change preferences

Enhancements

  • DVT-7984 Override Methods Dialog does not show the methods from implemented interface classes

  • DVT-8532 Add extract to variable as Right click > Refactoring submenu entry

  • DVT-8562 Not used/read/written signal checks are not executed inside generate blocks

  • DVT-8566 Add +dvt_hdtv hidden build configuration option to hide duplicate elements in type view

  • DVT-8559 Differentiate between error messages when undefined identifier error is caused by an unresolved type

  • DVT-8590 Task tags should be case insensitive by default

Bugfixes

  • DVT-8561 Generate using begin-end should not create a blkgen n labeled block scope

  • DVT-8563 Missing signal in sensitivity list warnings should not be generated for loop index

  • DVT-8564 Allow access to non-static class members for the enclosing class of a covergroup

  • DVT-8580 Design hierarchy content should not change on incremental when duplicate modules

  • DVT-8582 Auto-complete proposal should replace the selected text (instead of prepending it to the selected text)

  • DVT-8587 Reusing code templates with DVT-Settings doesn't work for templates missing id attribute

  • DVT-8588 DVT-Settings engine completely replaces common code templates with user code templates instead of merging them

16.1.8 (30 April 2016)

Enhancements

  • DVT-1646 Show parameter value in tooltip

  • DVT-6354 Check for unimplemented pure virtual functions/tasks in subclass

  • DVT-8234 Camelcase auto-complete is not working for code templates

  • DVT-8539 Build config: -extbind argument should be loaded as top file

  • DVT-8344 Class automatic members should not visible inside inner class (trigger semantic error)

  • DVT-8435 If UVM is specified for compilation in multiple invocations, compile once and reuse in subsequent invocations

  • DVT-8544 Do not open a pop-up dialog asking you to wait for the Design Hierarchy to update when tracing a signal from the editor

  • DVT-8547 Override Method Wizard does not indent the inserted code

  • DVT-8187 Insert only the method prototype when overriding extern function/task proposals using autocomplete

  • DVT-8549 Code Formatting: Add `xvm_do macros to implicit semi macros list

Bugfixes

  • DVT-8373 Quick Fix variable type declaration is integer for real numbers

  • DVT-8514 Add argument quick fix does not update the extern function declaration

  • DVT-8525 Unable to rename variables named "min", "max", "connect", etc.

  • DVT-8537 False UNDECLARED_IDENTIFIER semantic error on mixed design when module binds to an architecture

  • DVT-8542 False UNDECLARED_IDENTIFIER semantic error due to 'start' identifier is not correctly resolved in covergroup context

  • DVT-8545 Trace diagrams do not have edges for signals of known interfaces passed to a generic interface port

  • DVT-8546 Interface connections don't appear as edges in flow diagrams

  • DVT-8550 Override Method Wizard parent selection does not select the subsequent predefined function children

  • DVT-8552 Build config: in vcs.vlogan compatibility mode, -ntb_opts uvm should automatically switch parsing syntax to SystemVerilog

16.1.7 (25 April 2016)

Features

  • DVT-8474 Refactoring: Extract expression to variable

Bugfixes

  • DVT-8505 "Open terminal here" should appear in all views containing files

  • DVT-8503 Stack overflow when parameterized class extends its parameter

  • DVT-8005 Code Formatting does not work for interface classes contained in other containers

16.1.6 (18 April 2016)

Enhancements

  • DVT-8186 Add support for file input system functions ($fgets, $fscanf, etc.)

  • DVT-8402 Show implicit/explicit static or automatic qualifier indication in tooltip (e.g. functions, tasks, etc.)

  • DVT-8467 Added DVT Theme support for Makefile editors syntax highlight

  • DVT-8469 Add semantic warning for explicit static or automatic when implicit static variable is initialized

  • DVT-8470 Add semantic error for illegal non-static field access from static task/function

Bugfixes

  • DVT-8414 DVT Theme is not correctly applied on XML Editors syntax highlight

  • DVT-8416 Quick Fix: Field declaration not properly inserted when last field declaration spans multiple lines

  • DVT-8465 Function/task override using auto-complete not working

  • DVT-8472 Spelling quick fixes don't work any more

  • DVT-8494 Sometimes after full build UML class diagrams miss associations

  • DVT-8496 Incremental build issue when function argument removed and declared as function variable (tooltip shows argument)

  • DVT-8497 Remove virtual flag for predefined 'pre_randomize' and 'post_randomize' functions

  • DVT-8498 Hierarchy view for 'pre_randomize' and 'post_randomize' should not indicate the functions are implemented in all the classes

  • DVT-8502 Sometimes opening a file from the Search View throws exception

16.1.5 (8 April 2016)

Enhancements

  • DVT-8461 Code Formatting: Ability to vertically align consecutive class variable declarations

  • DVT-8439 Show all running processes in the Debug View when working with irun simulator

  • DVT-8407 Reopen DVT-Settings project when it's closed

  • DVT-8197 Code Formatting: Option to move begin on a new line and increase indent

Bugfixes

  • DVT-8333 Build config editor: no hyperlink on duplicate .f file

  • DVT-8415 "Open Terminal Here" is missing in Eclipse 4.5.1 distros

  • DVT-8432 Editor freezes on a slow filesystem when detecting hyperlinks

  • DVT-8436 Build config is unable to locate paths crossing a forward symlink with an updir reference

  • DVT-8443 CDT Automatic configuration through DVT default.build is disabled in Eclipse 3.8.1 distros

  • DVT-8444 Debugger Add-on: sometimes Expressions View throws exceptions

  • DVT-8445 Debugger Add-on: unable to watch expressions containing :: or . characters

  • DVT-8449 File permissions not changed for group and other when the file is checked out using the editor

  • DVT-8450 Skip compile using ..../* is not working properly on Windows

  • DVT-8451 On Windows, adding +dvt_autolink_root+name=/path/to/ should not require deleting DVT-AutoLinked by hand

  • DVT-8454 False syntax error triggered when using macro having empty default value for argument (e.g `macro_name(ARG=))

  • DVT-8460 Emacs auto functions should not be applied on unsaved files

  • DVT-8462 Emacs auto functions should ask to unlock a file under revision control

16.1.4 (30 March 2016)

Features

  • DVT-7305 Configure C/C++ project using default.build arguments -I, -include, -l, -L, -D, -imacro (when DVT CDT Integration feature is installed)

Enhancements

  • DVT-8391 Flag non-standard semantic warning for hierarchical access after method call

  • DVT-8393 Ability to overwrite an existing Predefined Project in the workspace

  • DVT-8399 Add support for static/automatic package definition

  • DVT-8396 Allow non-standard syntax "static task class_name::task_name" for extern task implementation

Bugfixes

  • DVT-7055 Collect Project Statistics throws NPE when no project is selected

  • DVT-8283 Remove system task content assist proposals after '.'

  • DVT-8383 Removed "Go to Source" action on flow diagram connections

  • DVT-8392 Enum value is sometimes not visible in the tooltip

  • DVT-8400 Trigger semantic error when accessing private (local) constructor using super.new()

  • DVT-8401 Trigger syntactic error if pre_randomize() and post_randomize() methods are declared virtual

  • DVT-8419 Do not declare implicit wires for arrays in assigns

  • DVT-8437 Re-creating a project with dvt_cli.sh -force does not preserve opened editors

16.1.3 (10 March 2016)

Performance

  • DVT-8384 Fixed parsing performance degradation when compiling many small files located in a slow filesystem

Bugfixes

  • DVT-8360 Custom Dialog buttons row is not visible until resize of dialog

  • DVT-8368 New Content Filters XML Wizard does not work

  • DVT-8380 Large letter spacing on Windows when using the new diagrams engine (patch for Eclipse 4.5.1 distros)

  • DVT-8386 IllegalArgumentException when exporting HDL Diagrams as PNG

  • DVT-8387 Auto-config does not work when the path to the project contains whitespace characters

16.1.2 (3 March 2016)

Performance

  • DVT-8366 Optimized memory and performance for the new diagrams engine

Features

  • DVT-8343 New MacOS specific launcher DVT.app that runs $DVT_HOME/bin/dvt.sh

Enhancements

  • DVT-6806 Hyperlink on predefined element does not have Show Usages entry

  • DVT-8192 Hide waived errors / waiving messages from the Console View (print only in dvt_build.log)

  • DVT-8309 Build config editor: show environment variable values in tooltip

  • DVT-8345 Build config editor: content assist for paths and environment variables when used as directive arguments

  • DVT-8349 Trace signal operations should go through predefined gates (and, or, xor etc.)

  • DVT-8352 Moved Writers and Readers to the end of the "Show Path" list in the Trace Connections view

  • DVT-8363 Quick fix declares 'int' type element instead of 'enum' type element

  • DVT-8364 Quick fix declares raw class type element instead of parameterized class type element

Bugfixes

  • DVT-8269 Skip compile directives should match path delimiters cross-platform

  • DVT-8281 Generic interface support in Trace Connections for instances passed through (.*)

  • DVT-8340 Wrong Java path in MacOS distros

  • DVT-8341 "Show Path" action in the Trace Connections view should not select the first element in the list

  • DVT-8365 Stop diagram generation jobs when the diagram editor is closed

16.1.1 (24 February 2016)

HIGHLIGHTS

REMOVED

  • DVT-8102 Removed MacOS 32 bit distribution, Eclipse no longer supports this platform

Features

  • DVT-3231 Block module diagrams

  • DVT-3376 Full schematic for modules

  • DVT-3440 Ability to show port to port connections in the sub modules diagram

  • DVT-3870 Ability to mark/highlight a specific signal in a diagram

  • DVT-4762 Show drivers and loads for a specific module in a diagram across the design

  • DVT-4765 Nested module diagrams

  • DVT-5433 Simplify Xilinx libraries configuration using +dvt_init_xilinx directive

  • DVT-6039 Better module diagrams layout

  • DVT-7871 Ability to automatically configure compilation using +dvt_init_auto build config directive

  • DVT-7872 Ability to drag and drop files and directories to build configuration editors (from Project Explorer View, OS file browser, etc)

  • DVT-7876 Accessible build configuration editor quick reference

  • DVT-8103 Switch to Eclipse 4.5.1, including the update of all complementary plugins from DVT distributions

  • DVT-8131 Quick access to Getting Started and Predefined Projects from Project Explorer

  • DVT-8132 Switch to Project Explorer View instead of Navigator View

  • DVT-8141 Predefined content filters for Xilinx Libraries

  • DVT-8332 Ability to pan and zoom in design diagrams

Enhancements

  • DVT-916 Filters for Xilinx simulation output

  • DVT-4764 Shortcuts for Zoom In / Zoom Out in a diagram

  • DVT-5001 Improve image quality/resolution for large diagrams

  • DVT-7519 Ability to see interface instances as connections edges when they are passed to interface ports

  • DVT-7870 Automatically open default.build when nothing is compiled during a full build

  • DVT-7978 Updated JRE in distribution to version 1.8.0u66

  • DVT-8275 Build with Java 8, minimal JRE required version increased to 1.8

  • DVT-8286 Build config: Multiple hyperlinks to same file path when files are specified multiple time

  • DVT-8294 Tooltip doesn't display the inline macro comment

  • DVT-8320 Show computed numerical value for an enum in tooltip

  • DVT-8260 Add Quick Fix entry in the editor right-click context menu

Bugfixes

  • DVT-7326 Skip compile directives are global instead of per-invocation

  • DVT-8038 Build log is not displayed in the console if dvt_build.log is read-only

  • DVT-8063 The last line from build console (total time) is not saved in the build log

  • DVT-8279 Code Formatting: "do begin ... end while()" breaks code formatting

  • DVT-8285 False MISSING_IMPORT_PACKAGE wild-card export package ignored semantic warning when using export *::*

  • DVT-8288 Function call autocomplete contains argument type when extended

  • DVT-8292 Auto-complete does not show proposals after 'var_name = ' as last statement of function

  • DVT-8297 Multiple Ctrl+Space after '.' doesn't always switch the proposals kind (remains blocked if no proposals)

  • DVT-8327 Binds in library scope are triggering false UNDECLARED_IDENTIFIER semantic error for the target instances

  • DVT-8330 Class parent not correctly resolved when the class has a member with the same name as the extended class

  • DVT-8334 Remove Source > Format option from the project's context menu

  • DVT-8337 Sometime autocomplete not working for system tasks argument (NullPointerException)

15.1.41 (10 February 2016)

Enhancements

  • DVT-7831 & DVT-8274 Updated AnyEdit, Git, Python and Perforce plugins in Eclipse 4 distros

  • DVT-8239 Code Formatting: Add "Independent `ifdef" vertical alignment preference

  • DVT-8248 Add build config directive to disable RTL specific checks such as SENSITIVITY_MISSING/_UNUSED and SIGNAL_NEVER_USED/_READ/_WRITTEN

  • DVT-8256 Build config: +dvt_compilation_root directive not working in files included with -F

  • DVT-8276 Improve performance of build configuration analysis

Bugfixes

  • DVT-8206 Don't show implicit data types when implementing/overriding a method

  • DVT-8110 Mixed language auto-complete is broken after an instance of VHDL entity

  • DVT-8212 Quick fix declare variable should declare the variable of array type

  • DVT-8226 False SENSITIVITY_UNUSED semantic warning when signal used in variable assignment

  • DVT-8247 Proposals are not recomputed after '.' if the content assist window is already open

  • DVT-8253 Semantic checking duration dialog still pops up after build was canceled

  • DVT-8257 Show usages hyperlink not working properly on mixed language projects

  • DVT-8258 Hyperlink incorrectly opens an Auto-Linked file with the same basename as the intended target

  • DVT-8277 False UNDECLARED_IDENTIFIER semantic error on variables defined in randomize() with { ... } action block (e.g foreach index)

15.1.40 (26 January 2016)

Performance

  • DVT-8235 Optimize incremental build semantic checks to re-analyze only the changed elements

Enhancements

  • DVT-8237 Add support for attribute_instance before port/net identifier in port_declaration and net_declaration

Bugfixes

  • DVT-8230 Different instance traced than the one selected in the "Select Instance" dialog

  • DVT-8242 Allow select after multiple concatenation expression

  • DVT-8244 Build config: -log directive should take one argument

  • DVT-8245 SENSITIVITY_UNUSED warnings should take into account signal name letter case

15.1.39 (14 January 2016)

Enhancements

  • DVT-8149 Quick Fix: Automatically fix an undeclared enumeration literal: add to enumeration type

Bugfixes

  • DVT-8209 When skipping the refactoring preview page, DVT_CHECKOUT_HOOK is not called

  • DVT-8213 Sometimes declare field quick fix places the cursor at the beginning of the file

  • DVT-8217 Syntax error after remove signal from sensitivity list quick fix

  • DVT-8218 Sometime declare variable quick fix returns internal error

  • DVT-8219 dvt_cli.sh errors out on -f and -F arguments (Unrecognized option)

  • DVT-8220 False UNDECLARED_IDENTIFIER semantic error on hierarchical access of a VHDL component port

  • DVT-8221 Sometimes errors pop up when bringing up the Problems View (cast exception)

  • DVT-8222 Semaphore in module marked as unread signal even though it is read

15.1.38 (7 January 2016)

Enhancements

  • DVT-8167 Add option to copy full path in Compile Order View

Bugfixes

  • DVT-8155 False SIGNAL_NEVER_USED semantic warning for parameters of type interface passed through module hierarchy

  • DVT-8190 Semantic errors on module port (not in list of ports) toggle when incremental build

  • DVT-8199 False SIGNAL_NEVER_READ semantic warning reported for signal used as argument to system function

  • DVT-8200 False SIGNAL_NEVER_READ semantic warning reported for signal used as argument to delayed assignment

  • DVT-8201 False SIGNAL_NEVER_USED semantic warning reported for signal used in implicit connection

  • DVT-8202 False SIGNAL_NEVER_READ semantic warning reported for interface ports

  • DVT-8203 False SIGNAL_NEVER_READ/WRITTEN semantic warning on modport connected to input/output of a module instance

  • DVT-8204 Sometime StackOverflowException is triggered on non-ANSI port declaration

15.1.37 (23 December 2015)

Enhancements

  • DVT-7693 Ability to close the automatically activated content assist pop-up after typing ';'

Bugfixes

  • DVT-8152 Remove keywords and templates from content assist proposals after '.'

  • DVT-8166 ViPlugin: "word" vi abbreviations do not work when word boundaries are colliding with imap characters like ';'

  • DVT-8171 User preferences.ini continuously changing due to key bindings when two or more DVT instances are opened

  • DVT-8172 Content assist toggles between defaults/templates/instances windows while continuous typing

  • DVT-8173 Non-ANSI module port declaration issue when net type not followed by data_type (or implicit data_type)

  • DVT-8174 Wrong UNDECLARED_IDENTIFIER semantic error for 'item' in "coverpoint"... "bins"... "with"

  • DVT-8179 If multiple blocks open on the same line, folding should be done on the outermost one

  • DVT-8180 False SIGNAL_NEVER_USED semantic warning reported on type parameters

  • DVT-8183 False SENSITIVITY_UNUSED semantic warning when sensitivity list items separated by comma instead of 'or'

  • DVT-8184 False SIGNAL_NEVER_READ semantic warning on function call argument followed by range

15.1.36 (11 December 2015)

Features

  • DVT-8147 Quick Fix: Automatically fix an undeclared identifier: declare as variable, as argument or as signal

  • DVT-8148 Quick Fix: Automatically add/remove a signal to/from process sensitivity list

Enhancements

  • DVT-8073 ViPlugin: Ability to define "word" vi abbreviations that should not trigger if preceded by word char and should trigger if followed by non-word char

Bugfixes

  • DVT-7370 Questa predefined Console Filters do not match suppressible errors

  • DVT-8075 Auto-complete should insert single argument functions/procedures on a single line when in "insert named arguments mode"

  • DVT-8089 Preserve default values when overriding a method

  • DVT-8133 In the Build Configuration Editor, directive tooltips containing < and > are not correctly shown

  • DVT-8150 Wrong syntax error on "coverpoint"... "bins"... "with"

  • DVT-8151 Content assist not working after a field of type class implementing interface class

15.1.35 (4 December 2015)

Enhancements

  • DVT-7867 Ability to add Git repositories by DVT CLI createProject and importProject commands

  • DVT-8115 Improve detection of Synopsys-encrypted files

  • DVT-8136 Updated ViPlugin for DVT to 2.14

Bugfixes

  • DVT-8112 Editor stuck while computing "while" statement indent

  • DVT-8113 False SENSITIVITY_MISSING semantic warning triggered when using always @*

  • DVT-8116 False SIGNAL_NEVER_USED semantic warning reported for interface ports

  • DVT-8117 False SIGNAL_NEVER_READ semantic warning when signal used as function call argument

  • DVT-8118 False SIGNAL_NEVER_READ semantic warning when signal used as expression in case/if/wait/foreach statement

  • DVT-8119 False SIGNAL_NEVER_READ/WRITTEN semantic warning when signal is struct and is mapped to submodule via fields

  • DVT-8120 False SIGNAL_NEVER_USED semantic warning when using .* instance signal mapping

  • DVT-8121 False SIGNAL_NEVER_WRITTEN semantic warning in case of data_declaration and assignment (e.g. wire signal = otherSignal)

  • DVT-8130 False SIGNAL_NEVER_WRITTEN semantic warning in case of event trigger ->some_event

  • DVT-8134 Order of function arguments is changed after incremental build causing wrong content assist proposals

15.1.34 (28 November 2015)

Enhancements

  • DVT-7998 Option to specify depth of children/parents/associations in class diagrams

  • DVT-8094 Issue a warning for incomplete sensitivity lists

  • DVT-8095 Issue a warning for unused/unread/unwritten signals

  • DVT-8101 In some cases, autocomplete does not insert i index for generate blocks

  • DVT-8104 In some cases, Copy Hierarchy Path from Design Hierarchy view does not work

15.1.32 (18 November 2015)

Enhancements

  • DVT-8056 Add support for non-standard 'new()' initialization in module port list

  • DVT-8071 Show warning for top files specified multiple times in the same invocation

Bugfixes

  • DVT-6993 Compile waivers are applied in reverse order

  • DVT-7934 False semantic DUPLICATE_NAME error for assertion defined inside macro

  • DVT-8067 False semantic DUPLICATE_NAMED_BLOCK error when a file that contains named blocks is compiled twice

  • DVT-8068 Review behavior of -F directive in ius.irun compatibility mode (should behave exactly like in dvt mode)

  • DVT-8085 Sometimes license checkout fails when using the latest FlexLM server (11.13.1)

  • DVT-8086 Incorrect "Cannot infer implicit connection" error for an instance binded to a VHDL entity without an architecture

15.1.31 (16 November 2015)

Performance

  • DVT-8057 Resolve continuous assignments speed improvements for long expressions

Enhancements

  • DVT-7203 Show sequential block assignments in the traced path of a signal

  • DVT-7205 Add keyboard shortcuts for navigating the "Show Path" list of the Trace Connections view

  • DVT-7946 Trace signal functionality should show constant drivers

  • DVT-8047 Add support for non-standard 'dynamicparam' AMS keyword

  • DVT-8049 Add port declarations to the Trace Connections "Show path" list

Bugfixes

  • DVT-7930 Semantic search for declarations finds only the base class declaration and not the overrides

  • DVT-8016 Change criteria for Design Hierarchy "Select Top" candidates to include all uninstantiated modules

  • DVT-8037 False shadowed indication for virtual parent functions that do not have the virtual keyword in their definition

  • DVT-8043 Trace signal functionality should take into account generate blocks with no instances

  • DVT-8044 Wrong DUPLICATE_NAME semantic error on auto-generated name of an anonymous generate block

  • DVT-8051 Wrong syntax error when implicit multi-dimensional packed array data declaration

15.1.30 (2 November 2015)

Bugfixes

  • DVT-8034 Wrong DUPLICATE_NAME semantic error reported for nested case generate conditions

  • DVT-8035 In some files override/shadow indicators don't work

15.1.29 (30 October 2015)

Performance

  • DVT-7940 Too much logged info when looking for undeclared elements in -y and -v library files might cause performance problems

Enhancements

  • DVT-7939 Add "Show only virtual methods" checkbox to Override Methods Dialog

  • DVT-7979 Code Formatting: Add "Indent and increase indentation level except first" preprocessing indent preference

  • DVT-7967 Add option to copy full path of file from the editor right-click context menu

  • DVT-7589 Add indication in editor for shadowed class variables

Bugfixes

  • DVT-7938 Override Methods Dialog should only have all entries selected when triggered from autocomplete with a prefix

  • DVT-7949 In ius.irun compatibility mode -incdir should take into account the compilation root dictated by -F

  • DVT-7958 Field Editor should not use deprecated macros for registering sequencers

  • DVT-7975 Wrong syntax error on pullup primitive instantiation

  • DVT-8006 Autocomplete inserted text for generate blocks should include index (e.g. gen i)

  • DVT-8023 Mark predefined functions pre_randomize() and post_randomize() as virtual

15.1.28 (16 October 2015)

Deprecated Support for JVM-style memory arguments in dvt.sh (-Xms, -Xmx, -Xss) is deprecated and will be dropped in a future release. Use -heap_size <size> and -stack_size <size> instead.

Enhancements

  • DVT-7943 Add code templates for bind directive

  • DVT-7966 Enhance UVM Sequence Tree performance

Bugfixes

  • DVT-7936 Wrong syntactic error reported when attribute_instance between parameter ports mapping and name of the instance

  • DVT-7937 Custom Dialogs containing empty <dvt:Container> tags fail to open

  • DVT-7941 Add JVM memory arguments to dvt_cli.sh

  • DVT-7944 Skip compilation of non-standard protected binary files

  • DVT-7945 SystemVerilog Refactor Connect project property page fails to initialize for projects checked out using an Eclipse RCS Plugin

  • DVT-7951 Add generate block select to the Design Hierarchy instance path string

  • DVT-7953 dvt.sh freezes under El Capitan when displaying the Eclipse splash screen

  • DVT-7960 Incorrect semantic error for coverpoint bins

15.1.27 (8 October 2015)

Enhancements

  • DVT-7920 Support for PATHPULSE$ specparam

  • DVT-7931 UVM Sequence Tree: show sequences that are declared in tasks called by the body() task

Bugfixes

  • DVT-7795 Wrong semantic UNDECLARED_IDENTIFIER error for aliased name

  • DVT-7797 Net aliases should declare implicit wires using default nettype

  • DVT-7907 Build console is color highlighted after total number of lines output

15.1.26 (28 September 2015)

Enhancements

  • DVT-7773 Add predefined console filters for VCS assertion log messages

Bugfixes

  • DVT-7909 Incorrect UNDECLARED_IDENTIFIER error when the hierarchical path of a bind instance contains a generate block

  • DVT-7916 Semantic errors reported when accessing module members from vunit although the tooltip/hyperlink works

  • DVT-7917 Parser hang when using large expression inside ignore_bins cover cross option

15.1.25 (22 September 2015)

Enhancements

  • DVT-7836 Specador: Ability to create URL for HTML frame content in order to simplify sharing links to specific pages

  • DVT-7913 Add PSL vunit support for module_common_item (e.g. asserts and assigns)

Bugfixes

  • DVT-7564 "export package" exports all package content not just the visible imports

  • DVT-7910 Semantic errors reported when accessing vunit members although the tooltip/hyperlink works

15.1.24 (18 September 2015)

Features

  • DVT-7596 Show indication for shadowed covergroups in editor and views

  • DVT-7700 Show override decoration in views

Enhancements

  • DVT-6995 Auto-complete function/task arguments with relevant (matching type) local variables instead of generic argument name

  • DVT-7900 Add support for non-standard cover cross options.cross_auto_bin_max

  • DVT-7905 Add PSL vunit support for package item declarations

  • DVT-7906 Remove incompatible type proposals from autocomplete of function arguments

  • DVT-7835 Specador: Provide legend for class diagrams in generated documentation

Bugfixes

  • DVT-5520 Undoing Verilog Refactor > Add Port operation is called "Undo VHDL refactor add port"

  • DVT-7827 False undeclared identifiers reported in Verilog AMS standard definition files after linting

  • DVT-7864 GUI freezing when hyperlinking on certain identifiers inside an uvm_do_with macro usage

  • DVT-7887 Stack overflow error thrown when parameterized inner class extends parameterized outer class

  • DVT-7890 Incorrect error triggered for module field arrays of type parameters

  • DVT-7891 When using non-ansi port declarations, certain fields are incorrectly seen as interface type ports

  • DVT-7893 Decorators in the Layers View should appear only in the 'Name' column

  • DVT-7899 False ILLEGAL_PROTECTED_ACCESS error when accessing a protected field of an instance of an enclosing class

15.1.23 (9 September 2015)

Enhancements

  • DVT-3116 Print keyword set setting and the number of lines in console before/after the file load message

  • DVT-7549 Custom dialogs: ability to enable / disable a group of widgets based on a radio button / checkbox selection

  • DVT-7707 Add option to shrink interface instances/ports to occupy less space in flow diagrams

  • DVT-7735 Ability to create a non-modal custom dialog

Bugfixes

  • DVT-7861 Wrong syntactic error triggered for always @( /*some comment*/ *) statement block

15.1.22 (2 September 2015)

Enhancements

  • DVT-5124 Semantic support for interface classes

  • DVT-7771 Periodically check for disk full and fire a warning for potential data loss

  • DVT-7802 Missing semantic error when class extends interface class

  • DVT-7813 Type Hierarchy View must show the implemented interface classes

  • DVT-7815 Add override indication for functions implementing interface class function prototypes

  • DVT-7820 Use Graphviz neato layout engine for strongly connected Module Flow Diagrams

  • DVT-7829 Add diagram generation process timeout (1 minute)

Bugfixes

  • DVT-7019 Missing syntactic error when a local variable is placed after some statements in a task/function body

  • DVT-7216 False semantic error when accessing a member with the modport name when the defined field is virtual interface modport

  • DVT-7722 Instances of parameterized types are not resolved in the Design Hierarchy view

  • DVT-7746 Hyperlinks not working in port connections if they are spaces after dash ("#") in a module instantiation

  • DVT-7760 Incorrect errors triggered when the parent class has an empty specialization

  • DVT-7789 Network files specified in default.build using the network path are not auto-linked in Windows

  • DVT-7828 dvt_cli.sh -clearcase flag works only when a project is created

  • DVT-7830 Race condition in settings management at startup

  • DVT-7843 Type parameter actual values don't get propagated in generate blocks

15.1.21 (25 August 2015)

Enhancements

  • DVT-2214 Add dvt_cli.sh -clearcase flag to share project with ClearCase

  • DVT-7780 Signal 'realresolve' statements as non-standard constructs

  • DVT-7787 Add support for -propfile_vlog, -propfile_vhdl, -propfile_sc build directives in ius.irun compatibility mode

  • DVT-7788 Support for non-standard enum value access using :: in hierarchical identifier

  • DVT-7790 Improve error recovery inside function/task body

  • DVT-7792 Support for non-standard formal arguments specified inside task declaration even if it is declared with empty parenthesis

Bugfixes

  • DVT-7749 Demote DUPLICATE_NAME error for properties to warning in vcs.vlogan compatibility mode

  • DVT-7786 Incorrect NOT_IN_LIST_OF_PORTS error for a port with multiple declarations

  • DVT-7784 Verilog AMS issue due to 'logic' treated as keyword instead of identifier

  • DVT-7783 Search for `included files should not be performed in the directory where DVT was launched

  • DVT-7791 Wrong syntax error and no error recovery when PSL vunit block contains (* or/and *)

  • DVT-7794 Support paths with whitespace for DVT_LICENSE_FILE variable in dvt.bat script

15.1.20 (20 August 2015)

Bugfixes

  • DVT-7782 Heap space error for large environments

15.1.19 (18 August 2015)

Bugfixes

  • DVT-7178 "Open Terminal Here" not working for DVT Auto-Linked folders

  • DVT-7730 Include the Verilog AMS standard definition files in the DVT distro

  • DVT-7756 ViPlugin search and replace commands should not escape \n, \r, \t

  • DVT-7750 Wrong syntactic error triggered for "interface class ... extends ..."

  • DVT-7757 False error triggered for member of VHDL subtype when connected to a module port

  • DVT-7758 Wrong syntactic error on analog function declaration and analog function call (Verilog AMS)

  • DVT-7761 In irun mode, on Verilog AMS files, demote to warning DUPLICATE_NAME errors on ports declared as logic reg, logic wire, logic wreal, etc

  • DVT-7765 Folding > Show active preprocessing does not collapse `elsif blocks

  • DVT-7770 Incdir lookup is not performed for files `included with <> instead of ""

  • DVT-7772 "Copy Full Path" returns path relative to DVT Auto-Linked folder when auto linked root is used

15.1.18 (10 August 2015)

Enhancements

  • DVT-7702 Ability to see overridden constraints in a similar way to functions

  • DVT-7736 Code Formatting: Ability to vertically align `xvm_field macros

Bugfixes

  • DVT-7592 Refactoring arguments of virtual methods should also rename them in the base classes

  • DVT-7729 Build config: directives starting with -sv prefix, like -svrnc are wrongly interpreted as -sv

  • DVT-7741 Incremental compilation of SV files which include a .vh file is done with Verilog 2001 instead of SystemVerilog

  • DVT-7742 Double-click on a line without whitespace jumps to the beginning of the editor

  • DVT-7743 Wrong second pass macro replacement in VCS mode when the macro has default values for parameters

15.1.17 (3 August 2015)

Features

  • DVT-7703 Added ViPlugin support for ":g/<old>/s//<new>/g"

Enhancements

  • DVT-6902 Double-click in whitespace should select all whitespaces

  • DVT-6930 Ability to link header comment to first significant element in file

  • DVT-7679 Support for declaration assignments when tracing signals

  • DVT-7718 False wrong number of parameters error when unbalanced parenthesis in macro parameters

  • DVT-7723 Use configuration only when -top/+nctop specified in build config

  • DVT-7726 DVT CLI: Ability to show in Navigator a file opened with the openFile command

Bugfixes

  • DVT-6884 Content filters ConcurrentModificationException

  • DVT-7110 Do not select any item when opening quick outline view as it causes flicker

  • DVT-7317 False UNDECLARED_IDENTIFIER on port connections of architecture instances and signals when a module is instantiated inside an entity using bind

  • DVT-7652 & DVT 7721 False UNDECLARED_IDENTIFIER when instance is in generate block and configurations are used

  • DVT-7696 Predefined event property triggered should be declared as field instead of method

  • DVT-7708 Pressing the "Select Top" button while the Verification Hierarchy view is updating freezes the GUI

  • DVT-7710 Stack overflow when a class extends itself with a parameter

  • DVT-7713 Default macro argument values are not properly replaced inside string literals

  • DVT-7714 Autocomplete of function without arguments doesn't insert "()"

  • DVT-7716 Debugger add-on: Is some code contexts, the tooltip does not display the value of variables

  • DVT-7724 Ignore variables when tracing an interface signal

  • DVT-7744 Two rebuild actions in quick succession might cause dictionary serialization exceptions

15.1.16 (27 July 2015)

Features

  • DVT-1399 Added support for Verilog AMS version 2.4 syntax

Performance

  • DVT-7661 Semantic check high performance degradation when loaded systems (100% CPU usage, memory in swap)

Enhancements

  • DVT-7287 Function autocomplete should also insert the ';'

  • DVT-7579 Autocomplete for subprograms should add named associated arguments

  • DVT-7650 Changed F3 behavior when navigating from an instance to the module/entity declaration

  • DVT-7665 Use both extern and implementation function argument comments when generating documentation

  • DVT-7667 Don't modify the capitalization of the first word in the sentence if that word is in fact the identifier name

  • DVT-7682 Report a semantic warning if a package imports itself

  • DVT-7684 Support for non-standard construct 'typedef struct unsigned { ... } id'

  • DVT-7685 Support for non-standard construct 'modport id (x, input y)'

  • DVT-7689 Add support for -ntb_opts uvm+rvm VCS directives

  • DVT-7695 Build config: -ovmhome/-uvmhome directives support for Cadence enhanced methodology

Bugfixes

  • DVT-7450 False UNDECLARED_IDENTIFIER on accessing asserts declared in a module instantiated in an entity using bind

  • DVT-7542 False ILLEGAL_PRIVATE_ACCESS error on 'this' access inside randomize() with{} constraint

  • DVT-7649 dvt_builder.sh: Semantic problems are not reported in order or appearance

  • DVT-7655 Startup sanity check dialogs are blocking the UI on Eclipse Mars 4.5

  • DVT-7656 False incremental build UNDECLARED_MODULE warning on instance in a generate block

  • DVT-7662 Class diagrams should not show an association based on associative array keys

  • DVT-7663 Use portable awk syntax in scripts

  • DVT-7659 The Verification Hierarchy view is not populated when semantic checking is disabled

  • DVT-7683 Stack overflow when a class extends its parameter and the parameter is initialized as the same class

  • DVT-7686 Parameter type is changed to default after incremental build

  • DVT-7690 Build config: the argument of a -cpp directive is wrongly considered a top file

  • DVT-7691 Build config: -assert directive does not support a+b style arguments

  • DVT-7698 When opening a Verissimo HTML report in DVT file links are not displayed

  • DVT-7699 Stack overflow on type hierarchy when a class extends its parameter and the parameter is initialized as the same class

15.1.15 (10 July 2015)

Enhancements

  • DVT-7599 Split Verissimo HTML Report files to avoid browser freezes

  • DVT-7606 Support non-standard: interface modport importing field

  • DVT-7643 -assert should also accept enable_hier as parameter

Bugfixes

  • DVT-7627 False UNDECLARED_IDENTIFIER: Identifier '$unit' is not declared

  • DVT-7642 False changes are detected in default snapshot when Custom Dialog contains a Class Selector widget

  • DVT-7651 Code Formatting: Wrong indentation of "randomize with" blocks

15.1.14 (6 July 2015)

Features

  • DVT-7304 Ability to set CDT nature using DVT CLI

  • DVT-7306 Auto-link C/C++ files specified in default.build

Enhancements

  • DVT-6963 Field editor should use uvm_field_aa_int_*_unsigned for unsigned index types of associative array fields

  • DVT-7076 Delete action for Custom Dialog snapshots

  • DVT-7375 Add Register Predictors Category to UVM Browser

  • DVT-7612 Changed hyperlink labels to be more consistent

  • DVT-7618 Code Formatting: Ability to vertically align consecutive `defines

  • DVT-7631 Renamed "Why" option to "Show Path" option in the Trace Connections view

15.1.13 (19 June 2015)

Performance

  • DVT-7583 Optimized semantic steps at incremental build: resolve continuous assigns and resolve implicit port connections

Enhancements

  • DVT-7534 Content filters path patterns should support "/" on Windows

  • DVT-7595 Run Configurations: Add the custom hyperlink variable to the variables list

Bugfixes

  • DVT-7012 Autocomplete missing on usage of covergroup sample function

  • DVT-7160 Semantic error not reported when a method is called with wrong named arguments

  • DVT-7161 Hyperlink and autocomplete not working when a method is called with named arguments

  • DVT-7525 Autocomplete proposes default sample function instead of user defined covergroup function

  • DVT-7526 No errors triggered at full build for undeclared identifiers in the first library scope field assignment

  • DVT-7555 Refine fields proposals for getters and setters generation

  • DVT-7557 Accept non-standard: multiple terminals for pullup and pulldown gate instances

  • DVT-7565 "super" is not flagged as UNDECLARED_IDENTIFIER when calling super.new() in a non-derived class

  • DVT-7566 Sometimes project restore after platform startup triggers exceptions

  • DVT-7573 Wrong semantic UNDECLARED_IDENTIFIER when file compiled under multiple invocations

  • DVT-7574 Wrong semantic DUPLICATE_NAMED_BLOCK when the file is compiled in two different invocations

  • DVT-7591 Open C/C++ definition/declaration doesn't work for symlinked files

  • DVT-7593 Pressing backspace while executing a ViPlugin imap command prints a Unicode character

15.1.12 (5 June 2015)

Enhancements

  • DVT-7477 Updated AnyEdit, Git and Python plugins in Eclipse 4 distros

  • DVT-7540 UVM Sequence Tree View: show sequences that are declared inside the body task

Bugfixes

  • DVT-7419 When overwriting/saving a Custom Dialog snapshot, special XML characters are stored literally

  • DVT-7527 "Lint As" menu entry appears in DVT view's context menu instead of the Navigator View

  • DVT-7546 Invalid graphviz syntax for tooltip attribute

  • DVT-7547 In VCS compatibility mode -assert directive should take any number of predefined args

  • DVT-7552 Code Formatting: Vertical align by module signal declarations should not match module instances

15.1.11 (20 May 2015)

Enhancements

  • DVT-7457 Non-standard: allow non consecutive repetition after sequence match items

  • DVT-7473 Build config: -name directive should take one argument

Bugfixes

  • DVT-7460 Wrong semantic error and stack overflow error when hovering on array find() method

  • DVT-7461 Stack overflow when param class name and param value are identical

  • DVT-7462 Wrong UNDECLARED_IDENTIFIER semantic error

  • DVT-7470 Stack overflow when package exports the current package

  • DVT-7474 License error due to a NullPointerException in FlexLM

  • DVT-7476 Incremental build false UNDECLARED_IDENTIFIER semantic errors for package imported elements

15.1.10 (15 May 2015)

Features

  • DVT-1537 Added support for export package directive

Performance

  • DVT-7438 Optimized incremental build resolve imports and resolve classes semantic steps

Enhancements

  • DVT-7441 Restrict protected class members visibility in autocomplete

  • DVT-7448 Differentiate between UNDECLARED_IDENTIFIER and illegal implicit declaration due to `default_nettype none

  • DVT-7451 Workspace "Refresh on access" enabled by default in DVT distros

Bugfixes

  • DVT-6046 & DVT-7458 DVT CLI race condition when workspace is unlocked

  • DVT-7248 Wrong hyperlink and autocomplete proposals after "." in `uvm_do_with {} contexts

  • DVT-7437 False UNDECLARED_IDENTIFIER, no hyperlink and autocomplete on port connections of component signals and ports when a module is instantiated inside an entity using bind

  • DVT-7440 `default_nettype directive should be ignored if under inactive pre-processing code

  • DVT-7446 High CPU usage when concurrent access to semantic search algorithm

  • DVT-7452 Hyperlink not available after macro call in macro call

15.1.9 (8 May 2015)

Features

  • DVT-7211 Added new DVT Slim Perspective

  • DVT-7425 Run "sh" scripts from run configurations in Windows using Busybox included in the distribution

Enhancements

  • DVT-5185 Added missing toolbar actions to application menu

  • DVT-7133 Hyperlinks and autocomplete don't work after parameterized macros

  • DVT-7234 Removed some AnyEdit entries from editor context menu

  • DVT-7423 Added "Editor Emulation Mode" to editor context menu

  • DVT-7424 Removed some of the toolbar buttons in DVT perspectives added by other plugins

  • DVT-7390 Added full compile checks +dvt_full_compile_checks+ exclude options (e.g. NOT_LIBS, NOT_PKGS)

  • DVT-7432 Provide an example of launching in debug mode under Windows (ubus predefined projects)

Bugfixes

  • DVT-7149 False UNDECLARED_IDENTIFIER error and no hyperlink and autocomplete on binded instances under top module instances

  • DVT-7318 False UNDECLARED_IDENTIFIER error on binding a module under a mixed language path instance

  • DVT-7345 Autocomplete should not show globals on 'this' access

  • DVT-7364 False NON_EXISTING type error when associative array index type is a type parameter

  • DVT-7381 Defines are not cleared (undefined) between invocations

  • DVT-7383 Incorrect implicit declaration in a generate block for a signal that is implicit also in the enclosing scope of the block

  • DVT-7384 Resizing the diagram editor below a threshold hides the scrollbars

  • DVT-7395 False UNDECLARED_IDENTIFIER error on modports use for parameterized interfaces

  • DVT-7405 Invalid combinations of +dvt_incremental_compile_checks and +dvt_full_compile_checks setting are reported on wrong line

  • DVT-7406 Default value of +dvt_incremental_compile_checks should be PKG/POFF when +dvt_full_compile_checks is PKGS/OFF

  • DVT-7427 No hyperlink and autocomplete when architecture generates are connected to module ports

  • DVT-7431 Restore fails for mixed-language projects with cross-language binds

  • DVT-7430 Automatically insert single proposal is broken

15.1.8 (24 April 2015)

REMOVED

  • DVT-7363 Removed deprecated build configuration directives +dvt_enable_full_semantic_checks and +dvt_extended_checks

Performance

  • DVT-7362 Optimized memory consumption when full build semantic checks are restricted to a list of libraries or packages

Enhancements

  • DVT-6730 Consider to auto-insert '<=' when typing ".."

  • DVT-7361 Added build configuration directives to control semantic checks granularity to package (+dvt_full_compile_checks+PKGS+lib1::pkg1+lib2::pkg2+... and +dvt_incremental_compile_checks+PKG)

Bugfixes

  • DVT-7268 Incorrect errors for members of array of instances when using part selects

  • DVT-7365 Hyperlink doesn’t contain the entire file name in Console View if the file name is long

  • DVT-7366 False UNDECLARED_IDENTIFIER error in parameterized modules

  • DVT-7371 Code Formatting: Vertical alignment 'By name port connections' doen't work when module instance is under generate block

  • DVT-7372 Incorrect Trace Connections View driver and loads markers for same named signals

  • DVT-7373 Signals not traced in assign statements of same type instances from different scopes

  • DVT-7376 Debugger integration: unable to set breakpoints in Windows

15.1.7 (21 April 2015)

REMOVED

  • DVT-7321 Replaced unmaintained "Terminal View" plugin in Eclipse 4 distributions with Eclipse TCF Terminal

Performance

  • DVT-7324 Semantic checking improvements in very large design units

  • DVT-7340 After invoking autocomplete in a context with many proposals, editing slows down (move cursor / typing)

  • DVT-7356 Stability and performance improvements for full semantic checks

Enhancements

  • DVT-5969 Code Formatting: Ability to call a user script before and after code formatting

  • DVT-7173 Added Eclipse TCF Terminal with support for Windows

  • DVT-7201 Added ViPlugin support for "w!" command as an alias to "w"

  • DVT-7233 Class selector example should also include a usage of baseClassName attribute

  • DVT-7278 Ability to use a qualified scope like uvm_pkg in refactoring scripts exceptions

  • DVT-7280 When a refactoring script doesn't make any changes, suggest that a clean build may be needed

  • DVT-7282 Refactoring scripts annotations should have a default value / parameter to refer to the matched type

  • DVT-7337 Code Formatting: Ability to indent multi-line assigns

  • DVT-7338 Code Formatting: Ability to skip indentation of multi-line assigns

  • DVT-7339 Added HTML Wizard option to open or not the generated documentation in browser

  • DVT-7341 Wrong error for signals of interface generic ports in assigns and port connections when UNDECLARED_IDENTIFIER checks are disabled

  • DVT-7352 Code Formatting: Add vertical align patterns for by name port connections

  • DVT-7347 Debugger integration: unable to inspect value of variables like "this.var" in tooltip/expressions view when using IRUN

Bugfixes

  • DVT-7127 Code Formatting: Vertical alignment by parenthesis should also work when not surrounded by ids

  • DVT-7148 No hyperlink and autocomplete when typedef param queues are used

  • DVT-7242 The foreach loop variable type is not consistent with the index type of associated arrays

  • DVT-7262 Tasks added in multi-line comments are not added to the Tasks View

  • DVT-7266 Hyperlink not working for array of instances type

  • DVT-7294 UVM Browser buttons are not visible until resize of view

  • DVT-7301 dvt_kit_installer.bat script should take into account parameters when run with "cmd /c"

  • DVT-7302 Allow the -CFLAGS directive to have another directive as argument

  • DVT-7316 Type Hierarchy Members buttons are partially visible until resize of view

  • DVT-7323 Dialog warning about changes in snapshot appears when selecting a different snapshot for a custom dialog

  • DVT-7327 Value attribute does not work for Custom Dialog ClassSelector widget

  • DVT-7328 Platform crash when external builders are automatically triggered during platform startup

  • DVT-7329 "Flow diagram with ports" link not working in a module's generated documentation

  • DVT-7331 Code Formatting: Wrong indent after DPI import task calls

  • DVT-7344 Support paths with whitespace in Windows scripts

15.1.6 (6 April 2015)

Enhancements

  • DVT-7180 Debugger integration: ability to expand arrays in the Variables View

  • DVT-7279 Ability to use environment variables in refactoring scripts path exceptions

  • DVT-7281 Annotations added by refactoring scripts should be unique

  • DVT-7295 Debugger integration: ability to show variable type in Variables View

  • DVT-7298 Debugger integration: show type-specific icons in Variables View

  • DVT-7299 Support for non-standard syntax: 'global' keyword used as identifier

Bugfixes

  • DVT-7152 Modules bound to an entity are not shown in the Design Hierarchy View

  • DVT-7269 False UNDECLARED_IDENTIFIER on port connections when a module is instantiated inside an entity using bind

  • DVT-7288 Debugger integration: duplicate variable may cause heavy CPU usage

  • DVT-7296 Debugger integration: show inherited class variables in Variables View

  • DVT-7297 Debugger integration: variables in parent design element scopes should not be shown in Variables View

15.1.5 (30 March 2015)

REMOVED

  • DVT-7251 Removed bare DVT distributions from site, DVT KIT remains the only available distribution for download

  • DVT-7252 Removed dvt_updater.sh script, the same functionality can be achieved with dvt_plugin_installer.sh

Enhancements

  • DVT-7179 Added Ruby plugin to KIT distros and updated GIT, CDT, JDT, TCL plugins

  • DVT-7239 Non-standard support for "soft foreach" constraint expression

  • DVT-7253 Ability to list installed plugins using dvt_kit_installer.sh

  • DVT-7258 Added Undo/Redo actions to run configuration's command area

  • DVT-7272 Cleanup build log messages

Bugfixes

  • DVT-7039 Content filters don't match module names in the Design Hierarchy

  • DVT-7254 dvt_kit_installer.sh is using incompatible arguments for sed and readlink under MacOS

  • DVT-7256 Sometimes debugger does not start due to "Unable to determine simulator" error

  • DVT-7265 Platform crash when build is automatically triggered during platform startup

  • DVT-7271 Unable to specify package-qualified elements for refactoring scripts

  • DVT-7273 Ignore generate variables when tracing a signal

15.1.4 (23 March 2015)

Features

  • DVT-219 Hyperlinks from SystemVerilog DPI to C/C++ and from C/C++ to SystemVerilog DPI (when DVT CDT Integration feature is installed)

Bugfixes

  • DVT-7157 Wrong syntactic error triggered when no white-space between delay value and identifier (e.g x = #1pl_x)

  • DVT-7158 Variables View context menu should not show detailPaneBreakpointFactory

  • DVT-7162 Editor emulation modes stop working after manually changing key binding scheme

  • DVT-7167 DVT Debug launch configurations check out an e Language debug license regardless of the project nature

15.1.3 (16 March 2015)

Features

  • DVT-7122 Verissimo: Ability to add links in hit descriptions displayed in Linter HTML Report

Bugfixes

  • DVT-7121 Sometimes HTML Wizard does not generate the documentation

  • DVT-7125 Debugger Integration - VCS thread names with special XML characters break the DVT-Simulator communication

  • DVT-7126 Debugger Integration - breakpoints added in Auto-Linked files break the DVT-Simulator communication

  • DVT-7145 Parser performance issue when using large combinatorial expressions

  • DVT-7147 Show only files with errors in Compile Order doesn't work for symlinked files

  • DVT-7150 Eclipse 4: Problems/Tasks View not updated after full build (patch for Eclipse Luna 4.4.1)

  • DVT-7151 False UNDECLARED_IDENTIFIER error on a bind of a SystemVerilog module in a VHDL entity

  • DVT-7153 Debugger Integration: improved Variables View performance with thousands of variables

15.1.2 (6 March 2015)

Enhancements

  • DVT-7108 Support for non-standard parameter initialization with 'null'

  • DVT-7120 Verissimo: Added hit macro call information in Linter HTML Report

Bugfixes

  • DVT-7114 NullPointerException when running a CustomDialog with old serialization mechanism data

  • DVT-7116 Selecting the only available (default) snapshot in a CustomDialog with old serialization mechanism data should not be considered undo operation

  • DVT-7117 ClassSlector always reports selection of an identical snapshot as undo operation

  • DVT-7118 False UNDECLARED_IDENTIFIER error on assignment pattern using structure pattern key

15.1.1 (27 February 2015)

HIGHLIGHTS

  • Complete switch to Eclipse 4, including the update of all complementary plugins from DVT distributions

  • Improved compilation and restore performance

  • Debugger Integration with all major simulators

  • Trace Connections improvements, enhancements and bug fixes, including support for SystemVerilog interfaces

  • Support for SystemVerilog interfaces in Design Diagrams

  • New UVM Sequence Tree View to explore the call tree of sub-sequences and sequence items

  • Ability to store Custom Dialog Snapshots

REMOVED

  • DVT-7075 Removed IP-XACT plugin from distributions

Features

  • DVT-1460 Generic Debugger Integration

  • DVT-2132 Added new UVM Sequence Tree view. See UVM Sequence Tree.

  • DVT-3377 & DVT-4806 & DVT-3910 & DVT-6814 Trace Connections through interfaces

  • DVT-3696 & DVT-6440 Trace Connections through combinational always blocks

  • DVT-4460 Ability to store Custom Dialog Snapshots

  • DVT-4667 Support for interfaces in HDL diagrams

  • DVT-5825 & DVT-7074 Added KIT distro for Windows. See dvt_kit_installer.sh

  • DVT-7107 See all places where where a package is imported by right click in Types View

  • DVT-7104 Support for combinational always blocks in HDL diagrams

Enhancements

  • DVT-3245 & DVT-6582 Trigger semantic error for undeclared wires in concatenations in port connections and assign statements

  • DVT-4032 Ability to "Show Diagram" for instances in the Design Hierarchy View

  • DVT-5137 Ability to trace only through port connections

  • DVT-6503 Improve port connection error detection

  • DVT-6767 Custom dialog serialization should support id attribute to be specified for any widget

  • DVT-6586 Trace Connections from Editor should work on signals defined in generate blocks

  • DVT-6946 & DVT-6968 Updated all plugins in distribution

  • DVT-7016 Ability to launch a configuration in debug mode from toolbar button

  • DVT-7038 Improve project restore time

  • DVT-7065 Build for Java 7, minimal JRE required version increased to 1.7

Bugfixes

  • DVT-5898 Restore should be interruptible at platform shutdown

  • DVT-6441 Semantic error for non-existing modport declaration

  • DVT-6550 Eclipse 4: DVT CLI importProject -workingset does not set the workingset correctly due to some changes in the Eclipse Platform (patch for Eclipse 4.4.1)

  • DVT-6718 Don't save dictionary to disk after cancelled builds

  • DVT-6768 Custom dialog serialization is broken for multi-line Text widget content

  • DVT-6805 Close project should wait for dictionary save to complete

  • DVT-7086 Eclipse 4: First run after a crash or a forced termination results in a crash or a disabled functionality

  • DVT-7089 Rescanning of the library undeclared elements is required when using libconfig description

3.5.39 (26 February 2015)

Enhancements

  • DVT-7085 Print reparse macro trace when number of macro instance parameters mismatch

Bugfixes

  • DVT-7083 No hyperlinks for Trace Macro Error in Console View

  • DVT-7084 Wrong mismatch number of macro parameters error triggered when string parameter is of type "...`"

3.5.38 (23 February 2015)

Enhancements

  • DVT-7045 Visual and usability enhancements for HTML lint report

  • DVT-7052 Non-standard support for simple type followed by signing in streaming concatenation

Bugfixes

  • DVT-7067 Missing UNDECLARED_IDENTIFIER error on wrong access when randomize() with{} function is called on the same identifier

  • DVT-7071 Support for concatenated string defines in build configuration files

3.5.37 (13 February 2015)

Enhancements

  • DVT-7053 Increased initial memory (Xms) for better performance and MaxPermGen to avoid crashes in distributions

Bugfixes

  • DVT-7033 False DUPLICATE_NAME error on else if generate blocks when the first block is named

  • DVT-7034 False UNDECLARED_IDENTIFIER error on enum member if enum is declared inside a task

3.5.36 (9 February 2015)

Bugfixes

  • DVT-7001 Files created outside of Eclipse and added to the default.build are auto-linked even if they are in the project directory

  • DVT-7010 Wrong icon direction for collapsed sections

  • DVT-7017 Linked files in autolink roots are removed after updating autolink roots

  • DVT-7023 Macro with default values for arguments are not expanded correctly when some arguments are omitted in macro call

  • DVT-7028 Unexpected error while checking new name when refactoring a property/sequence

3.5.35 (30 January 2015)

Features

  • DVT-6402 "Change DVT nature" allows adding the C/C++ nature when CDT is available

  • DVT-6954 Switch between eclipse, vi and emacs editor modes using shortcut or toolbar button

Enhancements

  • DVT-5953 vi mode state should be preserved between workspaces

  • DVT-6365 Automatically set the key scheme for vi plugin when vi mode is enabled

  • DVT-6397 Show vi command line under the editor instead of the status bar

  • DVT-6455 Show a larger vi command line

  • DVT-6978 Override predefined methods should be restricted to pre_randomize() and post_randomize()

  • DVT-6982 Code Formatting: Vertical align of module signal declarations should also consider initial assignment

  • DVT-6987 Verissimo: Ability to customize the HTML report look & feel

Bugfixes

  • DVT-6515 Incorrect pop-up message from Trace Connections from Editor when the Design Hierarchy view is updating

  • DVT-6812 Incorrect pop-up message from Trace Connections From Editor when the Design Hierarchy view is filtered

  • DVT-6960 External builder multi-line errors/warnings are not added to the Problems View on Windows

  • DVT-6967 Trace Connections view is not properly cleaned after canceling a trace operation

  • DVT-6979 Override indication mission on pre_randomize() and post_randomize() if class has no parent

  • DVT-6984 False DUPLICATE_NAME error when two generate blocks with the same name are on different 'if' branches

  • DVT-6997 Inconsistent comment collection for task/function and arguments (inline/above comments)

  • DVT-7000 Build config: next word after -mvchome is considered a top file instead of a directive argument

3.5.34 (16 January 2015)

Enhancements

  • DVT-6345 Support for deprecated predefined sequence method 'ended()'

  • DVT-6951 Support for non-standard $root.package_scope access

Bugfixes

  • DVT-5377 Paths don't resolve correctly on Windows in wizards

  • DVT-6881 Missing hyperlink/tooltip inside `uvm_do_with constraint

  • DVT-6948 Questa multi-line errors/warnings are not matched correctly by predefined console log filters

  • DVT-6952 Implicit wires do not obey Outline View category sort

3.5.33 (12 January 2015)

Enhancements

  • DVT-6679 Ability to copy element name in views

  • DVT-3463 & DVT-3602 & DVT-5673 & DVT-5812 & DVT-6031 Add unified context menu to all views

Bugfixes

  • DVT-6924 False UNDECLARED_IDENTIFIER error when a type is defined by a typedef parameter package access in the parent class

  • DVT-6928 Search for text should look into all compiled files regardless of their content type

  • DVT-6938 Wrong DUPLICATE_NAME error when an event is passed to an interface instance

  • DVT-6944 Types View Members label is not cleared when changing project

3.5.32 (18 December 2014)

Features

Enhancements

  • DVT-4498 Enclose selected text when typing bracket or quote or begin/end

  • DVT-6856 Code Formatting: Add vertical align patterns for classes and functions

Bugfixes

  • DVT-6580 Eclipse 4: Tool Bar Customization / Dynamic Launch Configuration Toolbar category is showing icons from every toolbar refresh

  • DVT-6581 Eclipse 4: Commands for Dynamic Launch Configurations are persisted after the launch configuration is deleted

  • DVT-6909 Wrong auto-indentation when adding new line immediately before a string

  • DVT-6915 Search for references should look into all compiled files regardless of their content type

  • DVT-6918 Refactoring should be performed into all compiled files regardless of their content type

  • DVT-6920 Content assist shows no proposals after end delimiter without matching block name

  • DVT-6921 Code Formatting: Add whitespace before/after should not break '+:' and '-:' operators

3.5.31 (5 December 2014)

Bugfixes

  • DVT-6603 Eclipse 4: Build toolbar should resize on large build names instead of displaying a drop-down

  • DVT-6662 Eclipse 4: Updated ViPlugin for DVT to 2.13.1 (fixes for Eclipse 4)

  • DVT-6858 False DUPLICATE_NAME error on genvar use

  • DVT-6859 False UNDECLARED_IDENTIFIER error on genvar use in nested for blocks

  • DVT-6883 ViPlugin: Fixed "invalid stream header" error and some NullPointerExceptions

  • DVT-6885 New DVT File Wizard doesn't allow selecting the directory in the DVT Auto-Linked hierarchy

  • DVT-6894 New DVT File Wizard doesn't recognize paths on shared partitions

  • DVT-6896 DVT Editor line delimiter should be set by the "New text file delimiter" workspace and project preferences

Enhancements

  • DVT-6895 Code Formatting: Ability to vertically align to open curly

  • DVT-6897 Code Formatting: Ability to place function/task declaration arguments on new line/same line

  • DVT-6898 Code Formatting: Ability to place class declaration parameters on new line/same line

  • DVT-6899 Change default state of Prepend Package Name and Group By Package buttons

3.5.30 (28 November 2014)

Features

  • DVT-3222 Ability to create a project from template using dvt_cli.sh

Enhancements

  • DVT-6027 Add capability to use commands to open custom hyper links created by run configuration filters

  • DVT-6682 Mention shown UVM types in UVM Browser documentation

  • DVT-6683 Add Ports Category in UVM Browser

  • DVT-6855 Verissimo: Add check title to HTML lint report

  • DVT-6860 Add Register Maps Category to UVM Browser

  • DVT-6873 Add +dvt_env_no_override build directive

Bugfixes

  • DVT-6834 False UNDECLARED_IDENTIFIER when accessing interface parameters by modports

  • DVT-6838 False UNDECLARED_IDENTIFIER error for method access on event triggered property

  • DVT-6857 False UNDECLARED_IDENTIFIER error when accessing a typedef parameter field

  • DVT-6862 Field Editor "Select all" button clears set print radix

  • DVT-6872 Build log file is not automatically refreshed if it resides inside the workspace

3.5.29 (21 November 2014)

Enhancements

  • DVT-6832 Improved error recovery on missing semicolon

  • DVT-6849 Support for net declaration using non-standard packed dimension (expression instead of range)

  • DVT-6848 Demote to warning the non-standard IMPORT_IN_CLASS semantic indication

Bugfixes

  • DVT-6824 Eclipse 4: NullPointerException in ContentMergeViewer$ContentMergeViewerLayout.layout (patch for Eclipse Luna 4.4.1, Eclipse Bug 348429)

  • DVT-6841 Content assist is very slow in some cases when more than 100k proposals

  • DVT-6842 No error reported on incomplete define declaration (e.g. `define followed by new line)

3.5.28 (16 November 2014)

Enhancements

  • DVT-5743 Add expand all in current scope to code folding

  • DVT-6028 Match Questa errors and invoke "verror" tool to open them

Bugfixes

  • DVT-6790 Sometimes autocomplete does not work after `macro_calls.

  • DVT-6751 Verissimo: HTML lint report saved state should work between different reports

  • DVT-6803 False UNDECLARED_IDENTIFIER semantic error in parameterized class

  • DVT-6804 False UNDECLARED_IDENTIFIER semantic error when class extends its param inside a package

  • DVT-6826 Using many Auto-Linked roots results in "Value is too long" error

  • DVT-6827 Field Editor adds registration macros outside of the class body

  • DVT-6828 Project build fails when default.build directive contains formatted numbers (e.g +define+DEFAULT=32h'FFFFFFFF)

3.5.27 (7 November 2014)

Enhancements

  • DVT-6252 Report illegal virtual static function/task

  • DVT-6714 Move cursor position to field area in code templates for UVM classes

  • DVT-6774 Code Formatting: Ability to vertically align to open parenthesis

  • DVT-6783 Ability to customize run configurations console title according to the output of the process

  • DVT-6801 Slow post full build semantic resolve configurations phase in mixed SystemVerilog/VHDL mode

Bugfixes

  • DVT-6409 False SystemVerilog UNDECLARED_IDENTIFIER error when referring VHDL-defined types with package::qualification

  • DVT-6410 False SystemVerilog UNDECLARED_IDENTIFIER error when referring members of VHDL-defined records

  • DVT-6745 Field editor should add the registration macros at cursor position not at the end of the class

  • DVT-6763 Autocomplete in Windows OS might throw exceptions when triggered outside any scope

  • DVT-6765 Sometimes dictionary save fails at platform shutdown

  • DVT-6771 False DUPLICATE_NAME semantic error on generate blocks declared inside an else branch of an enclosing generate block

  • DVT-6778 "Conflicting handlers" log entry for each run configuration button

  • DVT-6779 False UNDECLARED_IDENTIFIER semantic error when parent element type is a typedef inside a parameterizable parent class

  • DVT-6780 Interfaces, modules, programs, primitives or checkers not always visible from a different library

  • DVT-6781 DVT crashes after CentOS and RHEL updates with "_cairo_operator_bounded_by_source: Assertion `NOT_REACHED' failed" (fixed in distributions)

  • DVT-6782 dvt.sh -eclipse_args doesn't work with -vmargs

  • DVT-6784 SystemVerilog build time increases exponentially with chained if else if generate blocks

  • DVT-6785 UVM Field Editor throws an internal error and erroneously deletes registration macros

  • DVT-6788 Suppress "First launcher.execute()" messages from ClearCase plugin (patch for distro)

3.5.26 (31 October 2014)

Enhancements

  • DVT-4964 Code Formatting: Ability to specify a list of operators that must be surrounded by space

  • DVT-5420 Ability to trim trailing whitespace on save (Preferences -> General -> Editors -> AnyEdit Tools)

  • DVT-5832 Disabled incremental build should also disable views updates

  • DVT-5988 Show value, file and line information in Macros View

  • DVT-6029 Support for URL hyper-links in run configuration filters

  • DVT-6152 Ability to hide from macros view "ifndef guards"

  • DVT-6241 Ability to customize run configurations console title

  • DVT-6381 Support for non-standard fprint() and print() global routines

  • DVT-6739 Ability to visualize all `ifdef/`ifndef that may affect the environment

  • DVT-6741 Add $test$plusargs $value$plusargs to predefined functions

  • DVT-6759 Support for non-standard syntax import "DPI-C" escaped_identifier = function int function_name()

  • DVT-6766 Specador: Use new HTML look & feel by default

  • DVT-6773 Added AnyEdit plugin in all distributions and updated CLEARCASE, PERFORCE, PERL and PYTHON plugins

Bugfixes

  • DVT-5849 False NON_EXISTING_TYPE semantic error on port declaration not cleared on incremental build

  • DVT-6030 Run configuration filters of type NOTE not working when ${line} is not used inside pattern

  • DVT-6148 Dynamic run configuration buttons should be filtered by working sets

  • DVT-6462 +dvt_incremental_compile_max_lines not taken into account by semantic checking

  • DVT-6613 Auto-instance should not generate duplicate wires

  • DVT-6750 Incorrect auto-instance generated wires for signals with packed dimension

  • DVT-6769 False UNDECLARED_IDENTIFIER error when accessing API of a typedef-ed parameter type

  • DVT-6772 No prompt for rebuild when unable to restore from a dictionary saved with pre-3.5.24

3.5.25 (23 October 2014)

Enhancements

  • DVT-5694 Trigger semantic error on duplicate constraint name

  • DVT-6384 Trigger semantic error on duplicate generate block name

  • DVT-6723 Verissimo: Add title to HTML lint report

  • DVT-6724 Verissimo: Double clicking a hit in HTML lint report opened in DVT's browser will open the file

  • DVT-6736 Verissimo: Add hits table summary to HTML lint report

  • DVT-6737 Verissimo: Visual and usability enhancements for HTML lint report

Bugfixes

  • DVT-5851 Wrong autocomplete at the end of keyword

  • DVT-6054 Do not show modules/other global types after dot as valid proposals when the context cannot be defined

  • DVT-6240 Autocomplete, hyperlink and hover support for function/task named arguments

  • DVT-6329 False UNDECLARED_IDENTIFIER semantic error for the type_option of a coverpoint

  • DVT-6673 Library-global assertions are duplicated in Checks View

  • DVT-6727 Verissimo: HTML lint report hits table column widths are wrong after a resize

  • DVT-6725 Project Statistics yields all metrics zero when full build option is checked

  • DVT-6728 Rebuild buttons visible only on main window after multiple windows platform restart

  • DVT-6734 Autocomplete after a function that takes a macro call as argument hangs

  • DVT-6742 Verissimo: Filter out all checks with no hits when file filters are used in HTML lint report

  • DVT-6744 Field Editor should allow registration of unregistered classes

3.5.24 (10 October 2014)

Features

  • DVT-6335 Verissimo: New HTML report with advanced functionality for filtering and searching

Enhancements

  • DVT-5635 Simplify override function with autocomplete (Java-like)

  • DVT-6589 Report error if target interface signal does not exist in modport declaration

  • DVT-6591 Record ports in clocking blocks

  • DVT-6647 Added new predefined project based on UVM 1.2 - UBUS

  • DVT-6693 Report error for mismatched modport and interface port directions

  • DVT-6704 Auto-complete window does not remember the width and height between invocations

  • DVT-6710 Specador: Added support for clocking blocks

Bugfixes

  • DVT-5548 Setting a template as auto-insertable doesn't work

  • DVT-6638 Ports should be filtered from the Outline View when showing only modules

  • DVT-6692 False UNDECLARED_IDENTIFIER error on modport access

  • DVT-6697 False NON_EXISTING_TYPE_ERROR on non-standard enum declaration

  • DVT-6700 Waive Problem from Problems View context menu does not escape less than < greater than > and quote " characters

  • DVT-6719 Added XULRunner library in Linux distributions to avoid crashes when the internal browser starts on old systems

3.5.23 (2 October 2014)

Deprecated OVM Field Editor key binding will be removed in the next major release

  • DVT-6661 Use same shortcut for OVM and UVM field editor

Enhancements

  • DVT-1714 Field Editor - class parameters need to be added

  • DVT-4972 Support for tooltips/hyperlinks/autocomplete/refactor for genvars

  • DVT-6590 Report error when accessing interface level signals out of modport/clocking blocks

  • DVT-6650 Support for non-standard cast construct "int(some_var)"

  • DVT-6651 Support for macro argument identifier or operator split on 2 lines (VCS mode)

  • DVT-6677 Content Filters for Field Editor

  • DVT-6681 When DVT_USER_SETTINGS or DVT_COMMON_SETTINGS point to a location inside a project no links are created in DVT-Settings project

Bugfixes

  • DVT-61 OVM Field Editor - Unregister/Register vs. Fix

  • DVT-1931 References search issue for members declared in files included multiple times

  • DVT-2799 OVM Field Editor doesn't pop when no fields

  • DVT-5533 Inhibit macro expansion in signal declarations for Code Factory templates and auto-instance generated wires

  • DVT-5714 Field editor does not work on classes with no fields

  • DVT-5989 Field editor should use uvm_component_param_utils macro for parameterized classes

  • DVT-6382 False UNDECLARED_IDENTIFIER semantic error genblk<N> on implicit generate blocks

  • DVT-6405 Problematic undeclared identifier when accessing a function from parent module instance

  • DVT-6479 No build after CLI createProject on a project that was previously deleted

  • DVT-6490 Refactor genvar leaves declaration unchanged

  • DVT-6604 Eclipse 4: Settings management eclipse.ini merger doesn't support case sensitive arguments

  • DVT-6635 The Design Hierarchy View text filter is cleared after rebuilt

  • DVT-6641 Quoted arguments of dvt_cli.sh -<name>.build are not handled correctly

  • DVT-6643 Non-deterministic build config errors on large build configurations of mixed-language projects

  • DVT-6644 Wait for clipboard contents hangs (Eclipse Bug 241957 patch)

  • DVT-6649 False UNDECLARED_IDENTIFIER semantic error when element is from a different library

  • DVT-6658 Auto-indentation very slow for imbricated levels of "begin...end" that have "begin" immediately after closing parenthesis

  • DVT-6569 Trigger semantic error for missing type used as default value for a parameter

  • DVT-6657 DVT CLI does not accept DVT as argument of -perspective option

  • DVT-6660 Classes are no longer visible across libraries

  • DVT-6663 Enclosing scope is not determined correctly when moving the cursor from outside a type

  • DVT-6669 Editor preferences for autocomplete not working

3.5.22 (9 September 2014)

Features

  • DVT-6377 Ability to specify current build configuration name and content by dvt_cli.sh

Enhancements

  • DVT-4767 Example Makefile.dvt for a predefined project

  • DVT-4911 Run configurations: improve error handling and visibility of project / working dir resolution

  • DVT-5571 Autocomplete SystemVerilog constraints in subclasses

Bugfixes

  • DVT-5328 UVM Field Editor shouldn't translate typedefed types

  • DVT-6637 Wrong UNDECLARED_IDENTIFIER semantic error when pkg_name::type_name is used and pkg_name declared in a different library

3.5.21 (3 September 2014)

Enhancements

  • DVT-6026 Ability to specify path to Custom Dialog swtxml as relative to the location of the run configuration

  • DVT-6387 Hyperlinks not working on instance ports when module name is specified with macro

  • DVT-6600 Add modport as element type option for content filters

Bugfixes

  • DVT-4149 Don't jump to files from other projects when double-click in views

  • DVT-5323 Build configurations are not correctly parsed when -ncelabargs is encountered

  • DVT-5622 Semantic search does not work for global tasks

  • DVT-5737 No autocomplete for static events after class_name::

  • DVT-6357 Compile waivers don't work for problems whose description contains newlines

  • DVT-6601 When creating a content_filters.xml views are not refreshed

  • DVT-6620 Search view quick search is shown at the bottom of the view instead of the top

3.5.20 (28 August 2014)

Features

  • DVT-5000 Add 'show usages' hyperlink entry

Bugfixes

  • DVT-5322 Refactoring a package name results in "Unexpected error when checking for new name"

  • DVT-6612 Members sorting in Types/Verification Hierarchy Views is unstable

  • DVT-6560 Missing semantic UNDECLARED_IDENTIFIER error when accessing undeclared method on queues in parameterized classes

  • DVT-6566 Missing semantic UNDECLARED_IDENTIFIER error on wrong :: access in classes extending their parameters

  • DVT-6574 Missing semantic UNDECLARED_IDENTIFIER error when using virtual interface (e.g. cls#(virtual intf))

  • DVT-6598 Wrong icons for mixed mode instances

  • DVT-6610 Parser stops after finding a non-printable character (e.g. 0x1A)

  • DVT-6611 Fix ambiguous syntax error reporting inside macro invocation

  • DVT-6618 Wrong UNDECLARED_IDENTIFIER errors when an ancestor of the class extends its parameter

3.5.19 (21 August 2014)

Features

  • DVT-5932 Ability to browse module parameters

  • DVT-5933 Ability to browse global functions

  • DVT-6592 Ability to browse and search type members in Types View

Enhancements

  • DVT-4050 Add -perspective switch to dvt_cli.sh importProject

  • DVT-5418 Ability to specify prefixes that trigger autocomplete

  • DVT-5613 In ius.irun compatibility mode if irun is not available -uvm should fallback to DVT_UVM_HOME with warning

  • DVT-6051 Hierarchical search in Types View

  • DVT-6158 Cross-platform Quick Search clear button

  • DVT-6404 Hide some of the "gray" functions from Quick Hierarchy

  • DVT-6465 Bold Quick Search matches in UVM Browser

  • DVT-6587 Automatically show Types Members / Verification Hierarchy Ports and select first match when search string includes dot

  • DVT-6593 Parameters don't show up in outline view

Bugfixes

  • DVT-4736 Eclipse 4: Dynamic Launch Configuration Shortcuts key bindings do not work

  • DVT-6110 Wrong semantic error for parameters used in bind instances

  • DVT-6250 Sometimes project open causes a NullPointerException

  • DVT-6459 New DVT File wizard throws NullPointerException when filling in file name with .build extension

  • DVT-6556 Wrong icon for array of interface instances in Design Hierarchy View

  • DVT-6506 Cross bin automatically defined types

  • DVT-6538 False undeclared identifier error when binding parameterized modules

  • DVT-6570 Specador: FileNotFoundException (Not a directory) when generating documentation using the new HTML style

  • DVT-6571 Parse error on "with" used in bins selection

  • DVT-6584 Program and checker instances appear with the module instance icon in the Outline View

  • DVT-6588 Content Filters do not match SV CONFIGURATION element-type

  • DVT-6596 Settings management engine doesn't always work on key bindings with parameters

  • DVT-6597 No tooltip/hyperlink and potential matches on some identifiers when using UTF-16 chars in comments

3.5.18 (1 August 2014)

Enhancements

  • DVT-5553 Error recovery not working properly when "endmodule" is missing

  • DVT-5715 F2 on identifier should show tooltip

  • DVT-6234 Custom Dialogs: DirectoryFilesListing widget should support listing directories

  • DVT-6508 Add code templates for UVM library

  • DVT-6543 Non-standard support for "static const local" declaration in class

  • DVT-6549 Override indication when overriding by autocomplete

Bugfixes

  • DVT-5829 Quick Macros and Print have conflicting key bindings, changed Quick Macros to Shfit + Ctrl + M

  • DVT-6065 Eclipse 4: Waive Problem in Problems View context menu doesn't work

  • DVT-6066 Eclipse 4: SVN plugin throws an error when DVT Auto-Linked is created

  • DVT-6209 Fixed cause for "Job found still running after platform shutdown" message on exit

  • DVT-6461 Eclipse 4: No content in Problems/Tasks View when focus is on a different stacked view (patch for Eclipse Luna 4.4.0)

  • DVT-6482 Eclipse 4: Missing Copy Full Path action in Navigator View context menu

  • DVT-6513 Eclipse 4: Tool Bar Customization / DVT Build category shows multiple empty buttons

  • DVT-6518 Wrong comment association for anonymous enum class variables

  • DVT-6522 False UNDECLARED_IDENTIFIER semantic error on binded module instance

  • DVT-6536 False UNEXPECTED_IDENTIFIER semantic error when property with arguments used

  • DVT-6539 False NON_EXISTING_TYPE semantic error when queue find_index call is accessed by ::

  • DVT-6540 False NON_EXISTING_TYPE semantic error when array index is accessed by :: in a sum() predefined function call

  • DVT-6541 No hyperlink, hover and autocomplete on item when array index is accessed by :: in a sum() predefined function call

  • DVT-6542 Wrong auto-indentation for assume/assert/cover/restrict property statements

  • DVT-6544 Incorrect reparse of an identifier followed by a macro call (without whitespace in between)

  • DVT-6545 Unsupported property expression of kind (exp) |-> (exp) or if (exp) else (exp)

3.5.17 (25 July 2014)

Features

  • DVT-5560 Specador: Ability to customize the HTML look & feel when new HTML style is used

  • DVT-6487 Specador: Ability to inject HTML in generated documentation when new HTML style is used

Enhancements

  • DVT-6113 Ability to "add as -y library", similar with "add as incdir" from Navigator right click menu

Bugfixes

  • DVT-6517 Wrong number of compiled files when +dvt_cache_incdirs_content is enabled

  • DVT-6520 Trace Connections from Editor not working for cross language signals and ports

  • DVT-6523 False UNDECLARED_IDENTIFIER semantic error when accessing nested action block labels

  • DVT-6525 False UNDECLARED_IDENTIFIER semantic error when class extends type parameter mapped to a typedef

  • DVT-6526 Specador: Watermark footer missing in new HTML style

3.5.16 (8 July 2014)

Features

  • DVT-6485 Specador: New HTML look & feel

Enhancements

  • DVT-4775 Ability to insert single proposals automatically

  • DVT-5321 Enhance autocomplete & tooltip for typedefs

  • DVT-6279 SystemVerilog API defined under a class should appear only under that class and not under global API

  • DVT-6434 SystemVerilog remove covergroups, assertions, functions, tasks, variables from index page and search

  • DVT-6471 Added support for 'nettype' declaration

  • DVT-6474 Optimized algorithm to remove unnecessary action blocks from dictionary

  • DVT-6492 Rebuild fails after adding a nature to a project

  • DVT-6498 Eclipse 4: Fixed java.lang.NoClassDefFoundError in AbstractTextEditor affecting some versions of Eclipse 4.2

  • DVT-6499 NullPointerException when DVT_COMMON_SETTINGS is used and DVT_USER_SETTINGS is not set

Bugfixes

  • DVT-5197 File not opened in the correct folder, but in DVT Auto-Linked

  • DVT-5550 Specador: Method argument comments are not extracted

  • DVT-6210 Specador: Fixed JavaDoc @link hyperlink extraction when similar links are used

  • DVT-6432 Specador: Refresh web browser after new documentation is generated

  • DVT-6473 Performance degradation on searching modules to resolve instances

  • DVT-6476 Verification Hierarchy view freezes the GUI for large environments

  • DVT-6486 Wrong index type solving when size is based on enum item

  • DVT-6488 Optimize the incdir file search and revisit cross platform map implementation

  • DVT-6504 Performance degradation when creating Auto-Linked resources

  • DVT-6511 Semantic timeout dialog appears when the build is started by the linter

3.5.15 (8 July 2014)

Enhancements

  • DVT-6438 GUI freezes when computing a large verification hierarchy

  • DVT-6439 Verification hierarchy computation is slow for a large number of classes

  • DVT-6445 UVM Verification Hierarchy View hangs when showing recursive instances

Bugfixes

  • DVT-5775 No tooltip/hyperlink inside randomize with {}

  • DVT-5914 Hierarchical references to static task or functions variables not working

  • DVT-6457 Wrong compilation error on a -> soft b

  • DVT-6460 Slow semantic checking/linting eventually leading to out of memory

3.5.14 (24 June 2014)

Enhancements

  • DVT-4411 Trace Connections of internal signals from editor

  • DVT-6162 UVM Browser should work with legacy OVM based environments

  • DVT-6298 UVM / Verification Hierarchy update is slow for large environments

  • DVT-6347 Add implicit declaration for assign if left value is a concatenation or assignment pattern

  • DVT-6367 Slow file open and right click menu in editor when environment has millions of defines

  • DVT-6372 Unify the task tags and comments collection with the parsing of the file

  • DVT-6391 Do not restore when using CLI createProject command

Bugfixes

  • DVT-5274 Wrong potential match on the port of an undeclared module

  • DVT-5275 Wrong potential match on a port of a not compiled module in a -v file

  • DVT-5869 Linting performs an unnecessary restore operation prior to build

  • DVT-5968 Read-only pop-up not working for file with rw:r:r permissions when opened by other user

  • DVT-6001 Trace Connections from editor when only one top module candidate is available should automatically select it

  • DVT-6343 False semantic error on array iterator index method

  • DVT-6344 False UNDECLARED_IDENTIFIER error in the initialization of nested structs

  • DVT-6352 Parameterized macro called with empty argument does not expand

  • DVT-6355 False UNDECLARED_IDENTIFIER error in classes which extend their own parameter

  • DVT-6358 False errors in duplicate functions after project restore

  • DVT-6360 Wrong formatting for interface class constructs

  • DVT-6366 Auto-indentation issue after pure virtual/extern/DPI method prototypes

  • DVT-6368 Out of memory exception on second build when environment has millions of defines directives

  • DVT-6369 Project is restored twice on project open

  • DVT-6370 Project restore cannot be interrupted by cancel button

  • DVT-6371 Macros view is populated after long time when environment has millions of defines directives

  • DVT-6376 Read-only indication not working for file with rw:r:r permissions when opened by other user

  • DVT-6418 Semantic errors no longer reported inside 'randomize() with {...}' block

3.5.13 (13 June 2014)

Enhancements

  • DVT-6341 Support for `protected128 ... `endprotected128 construct

Bugfixes

  • DVT-6312 No hyperlink, tooltip, autocomplete in foreach inside randomize with{} when type is in a different package

  • DVT-6324 No hyperlink, tooltip, autocomplete for port names after 1'b1 value for signal

  • DVT-6325 No hyperlink, tooltip, autocomplete for port names if parameter value is an expression

  • DVT-6331 Specador: Wrong hyperlinks when including external documentation directories

  • DVT-6333 Specador: Show the external documentation title under the 'Referenced Documentation' section in TOC

  • DVT-6337 Opening a the imported hundreds of times containing thousands of macro defines and/or undefines freezes the GUI

  • DVT-6338 Exception while searching in Design Hierarchy

  • DVT-6342 `pragma protect data_block complains about missing "="

  • DVT-6348 Layers View displays wrong information when invoked on an element while the view is hidden

3.5.12 (10 June 2014)

Enhancements

  • DVT-6275 Support for function declaration in cross body declaration (LRM 2012)

Bugfixes

  • DVT-5469 Editing a file during build freezes the GUI

  • DVT-5729 Wrong semantic error for module signal in bind

  • DVT-6023 UVM Field Editor should use uvm_field_sarray_int instead of uvm_field_array_int for static arrays

  • DVT-6150 False semantic error for field member in 'randomize with {...}' when type is in a different package

  • DVT-6204 Comments on items followed by `uvm_\`ovm_ on the next line are not collected

  • DVT-6221 False UNDECLARED_IDENTIFIER error in clocking block input/output/inout signal declarations

  • DVT-6239 XVM Field editor should order the registration macros

  • DVT-6271 False semantic UNDECLARED_IDENTIFIER error reported when the type of the accessed member declared in a parent class is of a type

  • DVT-6276 Content assist not working for the enumerated items of a enum type

  • DVT-6277 Autocomplete doesn't show parent class fields in a coverpoint

  • DVT-6288 Specador: FileNotFoundException when documentation is generated with diagrams in same location for multiple projects

  • DVT-6297 False semantic UNDECLARED_IDENTIFIER error when identifier is a member in a nested class in other package

  • DVT-6299 Auto-indent issue after pure virtual method prototype

  • DVT-6302 Infinite loop when "typedef myType myType" is encountered during semantic checks

  • DVT-6304 Specador: progress dialog should also include design diagrams and design diagrams with ports

  • DVT-6308 License checkout error not reported properly due to an exception in FlexLM

  • DVT-6316 Console Log Filters: missing hyperlinks for UVM instances containing "@" character

  • DVT-6330 False syntax error on property assertion variable assignment

3.5.11 (30 May 2014)

Enhancements

  • DVT-5202 Specador: option to generate module diagrams with ports

  • DVT-6056 Open files compiled by DVT with the corresponding DVT editor (for example from Navigator)

  • DVT-6069 Open problems reported by DVT with the corresponding DVT editor (for example from Problems View)

  • DVT-6108 Slow parse with multiple imbricated concatenation and ? : conditional operators

  • DVT-6159 Inconsistency: Outline/Quick Outline/Type Hierarchy/UVM Browser filters dialog should have Select/Deselect All buttons

  • DVT-6215 Specador: Show functions/tasks in global scope

  • DVT-6251 Specador: Include package comment in package summary page

Bugfixes

  • DVT-6179 When using -uvmhome, UVM API is not filtered by content filters

  • DVT-6180 When using -uvmhome, Compile Order displays wrong order of files

  • DVT-6244 Sometimes Show Whitespace Characters throws an error

  • DVT-6268 Missing semantic UNDECLARED_IDENTIFIER error when hierarchical identifier inside a parameterizable class does not depend on the class parameters

  • DVT-6270 False semantic UNDECLARED_IDENTIFIER error on package access inside a generate

  • DVT-6273 When same sources are Auto-Linked multiple times go to declaration (from view/hyperlink) asks user to chose project

3.5.10 (22 May 2014)

Features

  • DVT-4129 HTML Documentation: by default show inherited API collapsed

Enhancements

  • DVT-6208 Settings management engine should handle .dvt.gtkrc settings

  • DVT-6230 Provide an example Makefile wrapper for dvt_cli.sh in the uvm-ubus predefined project

Bugfixes

  • DVT-5478 HTML Documentation: boolean values in dvt_export_html.xml that contain empty spaces are not recognized

  • DVT-6192 Auto-indent issue after a open-close group of parentheses

  • DVT-6207 Fixed ConcurrentModificationException preventing DVT CLI daemon to start

  • DVT-6219 False semantic UNDECLARED_IDENTIFIER error when referring VHDL-defined types/enums/constants in Verilog

  • DVT-6220 Compare Dialog is not using the editor background color

  • DVT-6227 False semantic UNDECLARED_IDENTIFIER error when using explicit package::type parameter

  • DVT-6229 Changed Quick Search default messages

3.5.9 (12 May 2014)

REMOVED

  • DVT-6174 Remove support for +dvt_reparse_duplicate_top_files+ build directive

Enhancements

  • DVT-5821 Added category sort button in Coverage and Checks Views

Bugfixes

  • DVT-6104 Search view Quick Search should be reset between searches

  • DVT-6105 Search view is populated slower when Quick Search is active

  • DVT-6151 No hyperlink/tooltip/autocomplete/refactoring support for class#(param)::param_ret_fun().param_api()

  • DVT-6157 Build configurations: in ius.irun compatibility mode, SPECMAN_PATH is ignored

  • DVT-6166 Eclipse 4: Fixed org.eclipse.swt.SWTException: Invalid thread access when running "dvt_cli.sh -newwindow"

  • DVT-6167 Eclipse 4: Fixed java.lang.NoSuchMethodError in AbstractTextEditor caused by a bug in DVT Patcher

  • DVT-6171 False semantic UNDECLARED_IDENTIFIER error when directly accessing under a generate block an instance name located within the parent design hierarchy

  • DVT-6173 Invoking a single External Build Configuration triggers a full rebuild with all the External Builders

  • DVT-6181 HTML Documentation: cannot trigger a project rebuild from the documentation wizard

  • DVT-6183 False semantic UNDECLARED_IDENTIFIER error in hierarchical names passing through anonymous generates

3.5.8 (30 April 2014)

Features

  • DVT-6132 Support for C like preprocessing (#define, #if, #elif, etc.)

Enhancements

  • DVT-5692 Auto-indentation after brackets (curly, square and parentheses)

  • DVT-6147 Issue a warning at startup if incremental build (Project > Build Automatically) is disabled

Bugfixes

  • DVT-4645 Copy/Paste doesn't always work (Eclipse Bug 368354 patch)

  • DVT-4657 dvt_cli.sh -newwindow opens two windows if DVT was not already running

  • DVT-5653 Incorrect auto-indentation after "foreach" in curly brackets

  • DVT-5736 Sometimes when closing a project files remain open and display an error message

  • DVT-5842 Added Outline View button for configuring element filters

  • DVT-5691 Do not auto-indent "else" to "if" in different block

  • DVT-6035 dvt_cli.sh openFile command issues an error if -line is not specified

  • DVT-6068 Restrict default content filters to */uvm_pkg.sv not *uvm_pkg.sv

  • DVT-6090 False semantic error for struct members from another package used in an assignment pattern

  • DVT-6131 False semantic UNDECLARED_IDENTIFIER error for class#(param)::param_ret_fun().param_api()

  • DVT-6133 Views should update when linter window is selected

  • DVT-6135 Duplicate lint configurations when starting with dvt_sv_lint_gui.sh

  • DVT-6136 Race condition prevents the linter to start when dvt_sv_lint_gui.sh is used

  • DVT-6138 Semantic timeout dialog always shows at incremental build when +dvt_file_compile_timeout is set to 0 (disable)

  • DVT-6143 Linter default preference files prefix changed from "custom_lint" to "verissimo"

  • DVT-6144 Linter not-matched waivers disable all checks when used with path or message filters

  • DVT-6145 Reapplying linter checks after removing a waiver that matches (and disables) a check doesn't enable the check back

3.5.7 (22 April 2014)

REMOVED

  • DVT-6121 Removed deprecated scripts run_gui_mode.sh and run_batch_mode.sh

Enhancements

  • DVT-4747 Filter out API defined by UVM macros or inherited API in class diagrams when Content Filters are enabled

  • DVT-5002 Ability to show only selected parents and children in class diagrams

  • DVT-6036 Build config: incorrect number of expected arguments for -no_error directives

  • DVT-6099 Build config: warn of unknown +dvt directives regardless of +dvt_enable_unknown_directive_warnings

  • DVT-6112 Add empty package code template

  • DVT-6122 Ability to use $DVT_PROJECT_LOC environment variable in build config and external tools

Bugfixes

  • DVT-5157 External builder markers disappear when paths in default.build are target files of symlinks from project

  • DVT-5897 Project restore might run out of memory on a dictionary built with same max memory

  • DVT-6012 Views should not be updated when they are not visible

  • DVT-6077 Wrong jump to file from external builder console error when the path is relative

  • DVT-6096 False unexpected end of file syntax error in ml_uvm

  • DVT-6097 Dynamic Launch Configuration Shortcuts should not be visible if they refer to closed projects

  • DVT-6044 False semantic UNDECLARED_IDENTIFIER error when using imported modport task

  • DVT-6100 Unexpected syntax error on typed coverpoint

  • DVT-6106 False semantic UNDECLARED_IDENTIFIER errors when identifier is also a struct member

  • DVT-6109 Pre-restore dictionary size too big due to Java serialization inner class issue

3.5.6 (15 April 2014)

Enhancements

  • DVT-5771 Ability to copy hierarchy path in Verification Hierarchy View

  • DVT-5831 Ability to Show Type Hierarchy when inside a class

  • DVT-5888 Hierarchical search in Compile Order View

  • DVT-5974 Auto-link files even if compilation skips them by +dvt_skip_compile

  • DVT-5999 Auto-link files even if compilation times out

  • DVT-6006 Add basic support for 'vunit' PSL construct

  • DVT-6013 Non-standard "automatic" lifetime for class constructor prototype

  • DVT-6018 Allow various operations like jump to definition or show hierarchy for the "after cursor" identifier

  • DVT-6016 Incremental compilation should not be performed for a build config file opened with a SystemVerilog editor (for example when using -f my_list_of_files.sv)

  • DVT-6081 +dvt_file_substitute should work for paths before DVT_CROSSPLATFORM_MAP is applied to them

Bugfixes

  • DVT-3877 Last external builders marker takes over previous markers on same file/line

  • DVT-4796 Errors reported by external builder incremental build are not displayed in Problems View

  • DVT-5669 Bind module_name should add instances to all module_name instances

  • DVT-5705 Whitespace characters at the end of a line and after a code statement, should be ignored in auto-indentation

  • DVT-5766 Interface instances bound to modules are not recognized

  • DVT-5790 JavaDoc comments autocomplete should not insert the "javadoc_function" template for a function defined inside a macro

  • DVT-5913 False semantic UNDECLARED_IDENTIFIER errors when accessing members of instances introduced using 'bind'

  • DVT-6000 Sometimes hyperlink not working after a certain line

  • DVT-6005 Compile Order view in "Show only files with errors" mode should refresh after reapplying compile waivers

  • DVT-6008 Wrong semantic error on extern function implementation return type parameter

  • DVT-6021 Views are not updated across multiple Workbench Windows when building a project

  • DVT-6024 Renaming the type of a field having same name as the type fails (e.g. " my_class my_class;")

  • DVT-6047 External Tools: "Note" filters should use the same color

  • DVT-6052 Using parens as vertical align tokens doesn't work for port connections

  • DVT-6070 False semantic UNDECLARED_IDENTIFIER error when accessing labels of conditional statements

  • DVT-6071 False semantic UNDECLARED_IDENTIFIER error when accessing members of labeled always blocks

  • DVT-6072 Wrong undeclared identifier for queue.pop_front().field

  • DVT-6073 Hyperlink not working when directly accessing an element located within the parent design hierarchy

  • DVT-6079 Wrong undeclared identifier error in task when default_nettype is not none

  • DVT-6080 Undeclared identifier not signaled on direct access of variable declared in enclosing module

  • DVT-6089 Function/task autocomplete missing a whitespace before name

  • DVT-6091 Subsequent module ports have wrong implicit type

  • DVT-6095 Sometimes when opening symlinked files they get recompiled

3.5.5 (1 April 2014)

Enhancements

  • DVT-5666 Content Filters for Design Hierarchy view

  • DVT-5943 +dvt_file_compile_timeout pop-up dialog should specify the filename

  • DVT-5945 Add "Prepend Package Name" button to the Verification Hierarchy View

  • DVT-5950 Support for 'let' construct

  • DVT-5952 Support for non-standard string API like match(), prematch(), postmatch(), thismatch(), backref()

  • DVT-5967 Ability to control "Disable code folding if file exceeds ### lines"

Bugfixes

  • DVT-5938 Specific DVT project selection dialogs must show only DVT projects

  • DVT-5946 False semantic error when using virtual instances defined in other libraries

  • DVT-5947 False semantic UNDECLARED_IDENTIFIER when using "disable action_block_name" syntax

  • DVT-5948 Wrong handling of string defines in default build e.g +define+NAME=\"literal\" leading to unexpected semantic errors

  • DVT-5949 False semantic UNDECLARED_IDENTIFIER semantic error when ports of a module are mapped to an expression

  • DVT-5951 HTML Documentation: do not remove the enclosing "*" characters in comments if they are not followed/preceded by an empty character

  • DVT-5958 Wrong syntax error on "coverpoint"... "bins"... "with" construct

  • DVT-5961 Adding a DVT nature to a project should create the .dvt sub-directory if it does not exist

  • DVT-5962 Strange behavior of dvt_cli.sh when using "-newwindow -workingset": no console, workingset visible on focus, old window updates

  • DVT-5964 False UNDECLARED_IDENTIFIER when directly accessing an instance name located within the parent design hierarchy

  • DVT-5965 HTML Documentation: comment formatting is not applied on the module description

  • DVT-5975 Missing semantic UNDECLARED_IDENTIFIER error when accessing members of actual class parameters

  • DVT-5976 Wrong NON_EXISTING_TYPE class_typedef::sub_class/sub_typedef in variable declaration

  • DVT-5979 False error for multiple import statements before the port declaration of a module

  • DVT-5980 Console Log Filters: missing hyperlinks for relative paths starting with "../../"

  • DVT-5981 Wrong default template when creating a new file with explicitly specified extension in a mixed language project

  • DVT-5982 Do not notify views when incremental compilation is not performed (for example because of max number of lines)

  • DVT-5984 Scanning -y and -v for undeclared modules should ignore only the modules/interfaces/primitives/etc. which are not used by the design

  • DVT-5986 Missing semantic UNDECLARED_IDENTIFIER error when classes are extending parameterizable classes

3.5.4 (21 March 2014)

Enhancements

  • DVT-5887 Search View Quick Search should bold the matched parts of branches like in all other views

  • DVT-5923 Support for non-standard multidimensional array select in 'foreach' loop using expression

  • DVT-5927 Scanning -y files should look only for undeclared modules in '+dvt_init+vcs.vlogan' compatibility mode

  • DVT-5929 Support for non-standard "endfunction class_scope::function_name" syntax

  • DVT-5930 Missing read-only notification when formatting a read-only or unchecked out file

Bugfixes

  • DVT-3653 Undeclared variable identifiers used in functions are not being caught

  • DVT-3655 Assignment referencing undefined identifier not reported as error

  • DVT-3657 Assignments to undefined members of a structure are not caught

  • DVT-5911 Wrong handling of a macro with default parameters

  • DVT-5912 False semantic NON_EXISTING_TYPE errors when associative array packed dimension contains 'signed/unsigned'

  • DVT-5915 False semantic NON_EXISTING_TYPE error when declaring an interface.modport modport_reference

  • DVT-5917 False semantic UNDECLARED_IDENTIFIER error when accessing variables from labelled action blocks

  • DVT-5918 Infinite loop sometime when BadLocationException is thrown in tooltip parser

  • DVT-5919 False semantic UNDECLARED_IDENTIFIER error '`macro_name' when macro is not defined

  • DVT-5921 False semantic UNDECLARED_IDENTIFIER error when array select is a conditional expression

  • DVT-5922 ClassCastException in dictionary due to same hash on some elements

  • DVT-5925 Default content of a New > DVT Content Filters XML may filter out all tasks in windows

  • DVT-5926 VHDL entities placed in different libraries are not visible from SV top module

  • DVT-5928 Wrong vertical align by parenthesis close, bracket close or curly close

3.5.3 (14 March 2014)

Enhancements

  • DVT-5892 Support for non-standard select of bin identifier

  • DVT-5900 Support for non-standard rand_mode call on fields with missing 'rand/randc' qualifier

Bugfixes

  • DVT-5816 Although only fields should be visible in Type Hierarchy Show All Predefined Members also shows functions

  • DVT-5843 Content filtered indication for Type Hierarchy should be right above the members panel

  • DVT-5872 False semantic UNDECLARED_IDENTIFIER errors when accessing fields from a generic interface port

  • DVT-5890 Semantic error when unpacked dimensions contain brackets

  • DVT-5893 False semantic error on sized arrays of associative arrays, when size is a localparam

  • DVT-5894 Quick Hierarchical Search in Coverage and Checks Views does not match any element if the filter starts with "." or contains a "!" character

  • DVT-5896 Trace Connections View search for port does not bold the matched ports

  • DVT-5899 Hyperlink not working on included files defined through a macro

  • DVT-5901 False semantic UNDECLARED_IDENTIFIER errors when using foreach 'item' access

  • DVT-5902 Wrong file and line number for errors reported in files included using macro

  • DVT-5903 Content Filters: Errors and tasks in symbolic linked files are not filtered

  • DVT-5905 Content filters do not apply for problems reported on files outside project

  • DVT-5907 SystemVerilog Verification Hierarchy does not show TLM1 ports

3.5.2 (11 March 2014)

Bugfixes

  • DVT-5855 False semantic UNDECLARED_IDENTIFIER error for hierarchical named block access

  • DVT-5857 False semantic UNDECLARED_IDENTIFIER after list select containing package::value access

  • DVT-5868 False semantic UNDECLARED_IDENTIFIER errors signaled when accessing parameters from an undeclared module or interface

  • DVT-5877 HTML Documentation: filter out duplicate inline comments for port declarations

  • DVT-5885 False semantic UNDECLARED_IDENTIFIER errors when package::value used as associated array key type

  • DVT-5886 Quick Search does not perform prefix matching on CamelCaseStrings

3.5.1 (7 March 2014)

Enhancements

  • DVT-5788 Copy full path of multiple resources

  • DVT-5818 Content Filters: ability to filter Search View by element text

Bugfixes

  • DVT-5700 HTML Documentation Generator should not report progress for each diagram

  • DVT-5795 HTML Documentation Wizard does not refresh all the settings when changing the project

  • DVT-5803 Support for non-standard attribute_instance

  • DVT-5804 Some formatter preferences (like Vertical align > Module port declarations) are not saved under Windows OS

  • DVT-5805 Wrong semantic error after 'find_index' when item type is a typedef

  • DVT-5808 Performance improvements for Content Filters

  • DVT-5809 Performance improvements for Checks and Coverage Views

  • DVT-5810 Outline should not reveal the first matched element when new content is added

  • DVT-5811 Internal builder console is cleared when saving a build configuration file

  • DVT-5814 Struct members are not visible from assignment patterns inside a class

  • DVT-5815 Changed Code Factory shortcut conflicting with Copy Full Path shortcut from Shift+Alt+P to Shift+Alt+K

  • DVT-5822 False UNDECLARED_IDENTIFIER error when identifier is member of a struct

  • DVT-5823 Content Filters: Some include-children top file patterns wrongly match all files

  • DVT-5824 Fixed incompatibilities with Eclipse 3.6

  • DVT-5826 Fixed dvt.sh issues on MacOS

3.5 (27 February 2014)

HIGHLIGHTS

  • Enhanced Semantic Checks: check that each identifier is properly declared and used

  • Ability to explore the checking aspect of a verification environment using the Checks View

  • Ability to explore the coverage aspect of a verification environment using the Coverage View

  • Ability to use Content Filters in order to eliminate unnecessary information overload.

  • Redesigned the tool configuration and preferences sharing capabilities. See Settings Management

  • Completely unified the DVT Perspective across all languages.

  • Quick Search available in most views

REMOVED

  • DVT-4293 Remove all incdir and define variables for External Tools Integration - ${dvt_current_build_file} should be used instead

  • DVT-5765 Removed deprecated scripts dvt_trap.sh, dvt_xterm.sh, license_utils.sh

Features

  • DVT-650 & DVT-1021 & DVT-2451 & DVT-2430 Ability to control preferences for site, team, user, project levels

  • DVT-1180 Link Back to Console from External Builder Marker

  • DVT-1654 Quick Macros View for SystemVerilog

  • DVT-2382 Filter out tasks from imported OVM or UVM libraries

  • DVT-2943 Ability to use waivers for build configuration problems

  • DVT-3637 Format option to align to first letter of signal

  • DVT-4017 Unify Type Hierarchy View

  • DVT-4049 Auto-Link files included by default.build

  • DVT-4337 Ability to hide UVM/Custom library content from Hierarchy, Types, Tasks, Macros ... Views

  • DVT-4390 Bad indentation for consecutive if (...) `ovm_info(...) statements

  • DVT-4412 & DVT-5112 Add ports view to Trace Connections View

  • DVT-4706 Added new Verification Hierarchy view. See Verification Hierarchy View

  • DVT-5111 Add filter to Trace Connections View tree

  • DVT-5128 Unify Views context menu actions: Show References, Layers, Hierarchy, Instance Tree, Diagrams

  • DVT-5243 Unify Macros & Quick Macros Views

  • DVT-5264 Unify Coverage View

  • DVT-5265 Unify Checks View

  • DVT-5277 Ability to disable format for a marked region of code

  • DVT-5302 Coverage View for SystemVerilog

  • DVT-5368 Unify Layers View

  • DVT-5388 Extended support for configurations and libraries

  • DVT-5610 Unify Trace Connections View

  • DVT-5763 Changed Instance Tree view to Design Hierarchy View. See Design Hierarchy View

Enhancements

  • DVT-532 Ability to easily share code templates at site level

  • DVT-4040 Unify icons across languages

  • DVT-4408 CamelCase support for quick views and views text filters

  • DVT-4440 Undeclared signal semantic error is not issued under always_comb construct

  • DVT-4482 Semantic check for unknown package reference

  • DVT-4483 Semantic check for class members associated type

  • DVT-4795 Predefined project mx_1 does not compile using Questa Simulator

  • DVT-5025 Trace Connections toolbar icons are not intuitive

  • DVT-5068 Show matched/total number of instances in Instance Tree

  • DVT-5084 New icon for interface ports

  • DVT-5155 Ability to control memory using dvt.sh arguments

  • DVT-5268 Element count in top label of views should show filtered/total info

  • DVT-5330 Mark the current trace path in the Trace Connections View tree

  • DVT-5331 Show port/signal icons in the Trace Connections View menus and ports view

  • DVT-5347 Align HTML Documentation with Coverage / Checks Views

  • DVT-5387 Undeclared module warning is still reported even after resolving the module using the configuration

  • DVT-5396 Minimize number of disk accesses when writing to dvt_build.log

  • DVT-5495 Signal with a different icon undeclared modules in instance tree

  • DVT-5618 Ability to control compilation timeout via build configuration directives: +dvt_file_compile_timeout and +dvt_incremental_compile_timeout

  • DVT-5764 Updated JRE in distribution to version 1.7.0u4

Bugfixes

  • DVT-3811 Formatting issue when ';' is missing at the end of a statement

  • DVT-3942 Formatter bug when with double bracket closing on the last line of block

  • DVT-4559 When the Instance Tree gets empty after an incremental build the "work" library is shown

  • DVT-4582 Formatting issue after constraint block

  • DVT-4583 Formatting issue after multiline comment block

  • DVT-4614 Cursor jumps on another line after auto-format when using spaces instead of tabs

  • DVT-4669 Place each parameter on a new line doesn't work properly

  • DVT-4970 Source Format: incorrect indent after a "wait fork" statement

  • DVT-5099 SV Formatter internal error

  • DVT-5101 SV Formatter preference "compact whitespace groups" does not always work

  • DVT-5106 Instance Tree and Trace Connections views memory leak

  • DVT-5210 Types View should reset after a project is cleaned

  • DVT-5239 Wrong formatting for imbricated begin-end blocks

  • DVT-5351 References, hyperlink, tooltip not working on wire in default clocking construct

  • DVT-5342 Format foreach $display doesn't indent properly

  • DVT-5352 Checker instantiation is considered a module instantiation

  • DVT-5380 Invoking Trace Connections in Editor triggers an error when Instance Tree is filtered

  • DVT-5454 Formatter indent UVM/OVM field registrations

  • DVT-5448 When the platform starts and there is no resource selected, the current project is not in sync with the highlighted project in Navigator

  • DVT-5485 & DVT-5554 Instance Tree loses selection when the editor is changed or when Navigator View is selected

  • DVT-5588 Format broken for "else if" chains

  • DVT-5638 Show enclosing scope in Quick Layers View

  • DVT-5650 Wrong formatting of "repeat" loops without begin/end

  • DVT-5722 Instance Tree shows the first element when it should be empty

  • DVT-5723 Show Instance Tree is not working on a VHDL entity when the top is Verilog module

  • DVT-5769 Assertion flagged as undeclared identifier

  • DVT-5770 Enumerated type is not recognized in function declaration

3.4.28 (24 February 2014)

Enhancements

  • DVT-3828 Perform full semantic checks for types defined in current file on incremental build

  • DVT-4411 Trace Connections from Editor of internal signals, not only ports

Bugfixes

  • DVT-5597 Hierarchical references in coverpoint only show wires, not module instances

  • DVT-5724 Do not treat as case-insensitive gcc-like build config directives in ius.irun compatibility mode

  • DVT-5730 Sequence arguments are not resolved except for the first and the last

  • DVT-5738 Autoinstance with "Preserve port order" does not declare signals

  • DVT-5740 External Tools custom filter does not work if the pattern text ends with space/tab/new line char

  • DVT-5742 External Tools can't read from an external_builders.xml file that contains patterns with the same id

3.4.27 (29 January 2014)

Enhancements

  • DVT-5704 Allow //{{ and //}} symbols as user defined code folding region

  • DVT-5687 Update DVT_UVM_HOME to point to latest uvm_1.1d

Bugfixes

  • DVT-5696 Wrong tooltip/hyperlink on some fields followed by a "randomize with" call

  • DVT-5726 Preprocessing issue when using `timescale followed by a macro call under `if [ n ] def ... `endif

3.4.26 (8 January 2014)

Bugfixes

  • DVT-5676 Commas in multiline comments are not ignored for macro arguments

  • DVT-5677 Macro parameters are not recognized if they are not on the same line with the macro call

  • DVT-5679 NullPointerException when full semantic checking is enabled

3.4.25 (18 December 2013)

Enhancements

  • DVT-5641 Non standard support for misplaced attribute instance specification when instantiating a module

  • DVT-5663 Support for non-standard global deferred immediate assertion item

Bugfixes

  • DVT-3661 Duplicate fields, modules, variables, etc. should be reported as warnings instead of errors

  • DVT-5619 Expand all levels for large macro stacks never finishes

  • DVT-5658 Content assist stack overflow when parent class is declared inside child class

  • DVT-5659 HTML Documentation: generating documentation throws an error when the option "Exclude files outside project" is set

  • DVT-5661 <anonymous_enum>.name() is not recognized as call to a predefined function under Windows OS

  • DVT-5662 False IMPLICIT_PORT_CONNECTION errors for binds inside a module

  • DVT-5664 Inserting text in block selection mode skips characters in some environments, fixed for Eclipse Platform 3.8.1 and DVT distros

3.4.24 (2 December 2013)

Enhancements

  • DVT-5615 Macro definition optional '\' to continue on next line when inside a multiline comment

  • DVT-5616 Open non-DVT perspectives when creating project with dvt_cli.sh

  • DVT-5629 Trim whitespace characters after new line in auto-indentation

Bugfixes

  • DVT-5494 Incorrect created wire in Code Factory testbench for enum type

  • DVT-5620 Very long parse time for thousands of nested concatenations

  • DVT-5623 Quick Hierarchy does not show implementations for tasks on children classes

  • DVT-5632 Instance tree should not be updated on every resource selection

3.4.23 (22 November 2013)

Enhancements

  • DVT-4906 Automatically continue comment on new line when editing inside a block comment

  • DVT-5481 Show the parameter descriptions instead of the parameter names in Add From Project Template dialog

  • DVT-5596 Added support for non-standard $setup syntactic issue when using min max type expression as timing check limit

  • DVT-5601 Non-standard support for packed dimension instead of constant range in typedef enum declaration

  • DVT-5603 Non-standard support for missing modport port [ s ] declaration (e.g. "modport mp_name();")

  • DVT-5604 False error reported in default.build for -licwait directive

  • DVT-5609 Support for multiline preprocessing directives

Bugfixes

  • DVT-5556 Javadoc comments (/**...) should not insert empty lines for empty tags

  • DVT-5600 Auto-indentation stuck when trying to match unrecognized group

  • DVT-5602 False syntax error for missing arguments on constructor prototype (e.g. "extern function new;")

  • DVT-5608 Macro invocation reports error when parameters are not on the same line with the macro name

  • DVT-5607 Long-running background activity after Replace All with many occurrences

3.4.22 (15 November 2013)

Bugfixes

  • DVT-5492 Don't include localparams in Code Factory created testbenches

  • DVT-5575 Inside single-line comment '\r' should not be considered a newline

  • DVT-5579 "Resource is inaccessible" error in DVT Auto-Linked when updating change sets for SVN

  • DVT-5580 Wrong NOF_PORT_CONNECTIONS error for instances of modules with duplicated ports

  • DVT-5581 `default_nettype is not reset between invocations

3.4.21 (14 November 2013)

Enhancements

  • DVT-5566 Added dvt_cli.sh -p4 flag for sharing project with Perforce

  • DVT-5573 Increase the maximum recurrence limit for a macro using itself as argument

Bugfixes

  • DVT-5574 Internal builder console hyperlinks don't work for locale-specific number format (like 1,234)

3.4.20 (8 November 2013)

Enhancements

  • DVT-5530 dvt_cli.sh -silent flag should shut down all INFO & WARNING messages

Bugfixes

  • DVT-5471 External Tools: avoid error/warning/note color nuances for automatic smart log colors

  • DVT-5531 In ius.irun compatibility mode -assert directive should take no args

  • DVT-5559 After platform startup, text selection in a DVT editor triggers restore from dictionary for all projects

3.4.19 (1 November 2013)

Bugfixes

  • DVT-5484 Module diagrams sometimes throw NullPointerException

  • DVT-5489 '$root' variable reported as unknown identifier when performing full semantic checks

3.4.18 (30 October 2013)

Enhancements

  • DVT-4651 Show package information in UML class diagrams

Bugfixes

  • DVT-5482 Wrong syntax error when whitespace missing between decimal formatted number and conditional operator

  • DVT-5483 Stack overflow exception thrown when solving loop variables

3.4.17 (29 October 2013)

Enhancements

  • DVT-3895 & DVT-5439 Auto-indent after if statement without "begin...end" block

  • DVT-3931 Auto-indent after "`ovm_*_utils_begin" and "`uvm_*utils_begin"

  • DVT-5431 Improved auto-indentation for blocks

  • DVT-5468 Build Progress indication with invocation and top file granularity

Bugfixes

  • DVT-1717 No auto-indentation after "function void name_funct();"

  • DVT-5225 Module auto-instance code is not indented correctly

  • DVT-5437 Change Extended help dialog title from "DVT Generic - Extended error ID info" to "Error ID info"

  • DVT-5438 New File Wizard stuck when changing nature and filtering template

  • DVT-5470 "Create Testbench" not working for values of module parameters that start with $

3.4.16 (11 October 2013)

Feature

  • DVT-3755 Ability to "copy" module and "paste" as instance, signals or testbench. See Code Factory

Enhancements

  • DVT-5455 Added enum ranges tooltip, content assist, HTML documentation and semantic checking

  • DVT-5465 Add from Project Template scans for default parameter values in both source and target template.config

Bugfixes

  • DVT-5459 Multiple selects issue when performing semantic checking

  • DVT-5460 Semantic issue when determining 'foreach' array index type on incremental compilation

  • DVT-5461 Platform not closing sometime due to save dictionary pop-up (pop-up cannot be dismissed)

  • DVT-5466 Project Template Wizards don't work with parametrized directory names

3.4.15 (4 October 2013)

Enhancements

  • DVT-5428 Non-standard support for missing semicolon after the property statement

  • DVT-5446 Save dictionary in compressed format

Bugfixes

  • DVT-4664 Restore opened files when running dvt_cli.sh createProject -force

  • DVT-5361 Set active build configuration is not consistent across multiple Eclipse Workbench Windows

  • DVT-5426 The "please wait..." info box sometimes persists after successfully generating diagrams

  • DVT-5432 Macro expansion for macro call followed by `undef doesn't replace parameters

  • DVT-5449 Add From Template: add option to select a predefined project as a source directory

  • DVT-5450 New Project from Template and Add from Template corrupts the binary files in the template project

3.4.14 (25 September 2013)

Deprecated Support for deprecated build configuration files will be removed in the next major DVT release (.dvt/.vlog_defines, .dvt/.vlog_top_files, .dvt/.vlog_ignore_files, .dvt/.vlog_vmm_project, .dvt/.vlog_uvm_project, .dvt/.vlog_ovm_project, .dvt/.vlog_inc_dir).

Enhancements

  • DVT-4273 & DVT-5410 Added dot in Windows distros

Bugfixes

  • DVT-5166 Macro references don't include `ifdefs

  • DVT-5415 Duplicated key binding Ctrl+Y for "Redo" in Windows

3.4.13 (20 September 2013)

Enhancements

  • DVT-1180 Link Back to Console from External Builder Marker

  • DVT-5096 Ability to include compile waivers

  • DVT-5165 Add "read only" file indication in Navigator View, Editors and Refactoring Preview

  • DVT-5314 Match brackets to the left and right of the cursor

  • DVT-5344 Clean up +defines from Predefined Projects build configuration files

  • DVT-5375 Incremental build causing editor freeze on big environments (possible cause disk access to write dictionary)

  • DVT-5378 Editor setting for changing the color of the matched bracket highlight

  • DVT-5390 Updated ViPlugin for DVT to 2.11.0

  • DVT-5392 Corresponding matching bracket highlight when emulating vi

  • DVT-5393 Added support for non standard construct 'foreach (a.b [ c.get() ][ index ])'

  • DVT-5396 Minimize number of disk accesses when writing to dvt_build.log

Bugfixes

  • DVT-4107 & DVT-4630 Allow cyclic includes with ifndef guards (false cyclic include error)

  • DVT-4631 False cyclic define error

  • DVT-4654 ViPlugin state not persisted between sessions

  • DVT-5316 Some UVM Code Templates contain compile errors

  • DVT-5375 Incremental build causing editor freeze on big environments (possible cause disk access to write dictionary)

  • DVT-5384 User interface is not responsive while generating diagrams

  • DVT-5385 Configuration clause instance using liblist is not searching the correct libraries

  • DVT-5386 Explicit import directive "import PACKAGE::TYPE" does not search for the PACKAGE name in the configuration default liblist

  • DVT-5407 Ability to cancel diagram generation

3.4.12 (11 September 2013)

Enhancements

  • DVT-5147 Disable auto-complete triggering (beep) when typing a '.' inside comments

Bugfixes

  • DVT-5358 Some project template variable names have extra underscores

3.4.11 (9 September 2013)

Enhancements

  • DVT-3091 Show project name in console title for Run Configurations and External Builders

  • DVT-4558 New ${dvt_cli} run configuration variable

  • DVT-5087 Ability to query the running status of DVT

  • DVT-5223 Ability to query the version of running DVT

  • DVT-5315 Ability to customize nchelp tool name/path to show extended help in console

  • DVT-5359 Support for SystemVerilog 2012 checker syntax

Bugfixes

  • DVT-4657 dvt_cli.sh -newwindow opens two windows if DVT was not already running

  • DVT-4757 & DVT-4995 When tracing inout ports no target icon decorations are shown in the Trace Connections View

  • DVT-4778 When creating/importing a project via dvt_cli.sh, auto-select "Window Working Set" in Open Resource dialog

  • DVT-5354 Syntax highlighter broken for multiline string ending with newline

  • DVT-5355 Invocation using -y or -v must search for the undeclared elements from the current invocation library only

  • DVT-5356 Instance tree is not populated after project restore

  • DVT-5360 Fixed configuration instance and default liblist directives

3.4.10 (26 August 2013)

Bugfixes

  • DVT-4690 dvt.sh/dvt_cli.sh should issue an error if provided a non-existing eclipse.ini

  • DVT-5172 A syntax error in covergroup breaks the error recovery for subsequent tasks/functions

  • DVT-5241 Miss-leading syntax error message generated by the parser when syntactic predicates are involved

  • DVT-5313 Rebuild buttons are only visible in the first Eclipse Workbench Window

  • DVT-5333 Trace Connections View memory not cleaned properly between trace port operations

  • DVT-5338 Switching a project doesn't update the Instance Tree View when no top module is specified

  • DVT-5340 Update Clearcase plugin to version 2.2.34 to fix some small issues

  • DVT-5341 Change distro name form DVT_CUSTOM_KIT to DVT_KIT

3.4.9 (14 August 2013)

Features

  • DVT-5264 Unify Coverage View

  • DVT-5302 Coverage View for SystemVerilog

Enhancements

  • DVT-5306 Hide "Project" sub-menu for non DVT project's context menu

  • DVT-5307 Hide "Validate" and "Format Source" menu items added by XML plugins on non XML files

Bugfixes

  • DVT-5308 Cancel does not work for hyperlink file selection dialog

  • DVT-5311 Open declaration hyperlink no longer working correctly (flickering)

3.4.8 (12 August 2013)

Enhancements

  • DVT-5120 Added support for -eclipse_args for dvt_cli.sh

  • DVT-5297 Add External Tools filters for SpyGlass logs

Bugfixes

  • DVT-5036 Types/Compile Order Views should refresh when opening a project

  • DVT-5244 Compare with > Other Resource ... from editor does not work

  • DVT-5295 Expand all levels for uvm_field_int macro does not work

  • DVT-5294 Port connection hyperlinks not working for module instantiations with several hundred port connections

  • DVT-5296 Sometimes the external tools filter variable '${file}' does not match correctly

  • DVT-5300 Multi-line macro calls are not correctly expanded

3.4.7 (5 August 2013)

Features

  • DVT-1654 Quick Macros View for SystemVerilog

  • DVT-5243 Unify Macros & Quick Macros Views

Enhancements

  • DVT-5279 Update $DVT_UVM_HOME to uvm-1.1c

  • DVT-5208 Slow hyperlink to module definitions in large designs

Bugfixes

  • DVT-4749 Run configurations session wrapper doens't check for all necessary binaries

  • DVT-4787 Run configurations default command/script should not use csh/tcsh with -i flag

  • DVT-4788 Run configurations generated script doesn't run the last when using csh/tcsh

  • DVT-5266 External builders persistence file defaults to the deprecated .dvt/.dvt_builders instead of external_builders.xml

  • DVT-5269 External Build button should invoke all external builders instead of the last ran builder

  • DVT-5280 Code folding intensive computation when multiple opening/closing keywords are placed on the same line

  • DVT-5281 Code folding doesn't work for property ... endproperty

  • DVT-5284 Disabled EMACS+ plugin auto activation in distros to prevent clipboard problems

  • DVT-5286 Adding linting/compliance code waiver from hit results in an "Index out of bounds" exception

  • DVT-5287 Adding linting/compliance code waiver from hit should check if file is writable or invoke team providers for checkout

  • DVT-5289 Wrong compilation issues reported due to non-standard module parameter #PARAM

3.4.6 (26 July 2013)

Enhancements

  • DVT-4896 Added KIT distro for Linux and MacOS. See dvt_kit_installer.sh

  • DVT-5126 Show a change indication when collapsing a modified macro/macro expansion

  • DVT-5256 Added support for configuration (instance clause, cell clause, default liblist clause and design clause)

Bugfixes

  • DVT-4997 Auto-instance a VHDL entity in Verilog using content assist

  • DVT-5234 HTML Documentation: spacing and tabs indentation problems for NaturalDocs formatting

  • DVT-5246 Compile Order View: collapse all does not work

  • DVT-5249 Error expanding a macro when parameters are not provided

  • DVT-5254 Collapse macro expansion by editor marker right click does not work

  • DVT-5257 Wrong syntactic error reported when edge description contains 0x, 0z, 1x, 1z

3.4.5 (22 July 2013)

Enhancements

  • DVT-4509 Build configurations: +dvt_auto_link_root (without trailing +) issues "unknown directive" instead of "badly specified directive"

  • DVT-4820 Build configurations: allow usage of +dvt_auto_link_root only in first invocation

  • DVT-4960 Survive duplicate directives like "-liblist -liblist arg"

  • DVT-5009 View filters should cancel an ongoing search operation when filter text is changed and trigger a new search (Instance Tree/Types/Compile Order)

  • DVT-5024 Redesigned the select instance dialog for Trace Connections from Editor

  • DVT-5080 Ability to redraw diagrams on demand instead of auto-update

  • DVT-5199 Use a bidirectional edge when indirectly connecting incompatible ports

Bugfixes

  • DVT-4663 dvt_cli.sh exit code should reflect the operation result

  • DVT-5203 Trace Connections View is cleaned after building any project

  • DVT-5205 Semantic error when defining a function with the same name as a task

  • DVT-5211 Set the correct window size for module diagram's legend

  • DVT-5215 DVT views flicker after building projects that shouldn't affect them

  • DVT-5222 Eclipse Juno - "Cannot get the parent identifier from an undefined context..." exception

  • DVT-5226 HTML Documentation: wrong hyperlinks on default class parameters

  • DVT-5228 A non-matched quote (") triggers non-standard warning on every line of code

  • DVT-5229 Cross language hyperlinks open the file in wrong editor

  • DVT-5233 Code Templates View: no error message when creating a template with un-escaped "$" characters

  • DVT-5236 JavaDoc code templates auto-complete does not work if there is a whitespace character after "/**"

3.4.4 (9 July 2013)

REMOVED

  • DVT-5188 Removed support for DVT Auto-Linked language specific subfolders ("SystemVerilog", "e-Language" and "VHDL")

Enhancements

  • DVT-4313 Inform that extended checks markers are obsolete on incremental build

  • DVT-4748 Use cache when clicking through diagrams

  • DVT-4754 Add an indication for array of instances in module flow diagrams

  • DVT-4768 F3 is not working in the Build Configuration editor

  • DVT-4870 Trigger an error when using DVT-defined Environment Variables for +dvt_auto_linked_root

  • DVT-4934 Auto-indentation in blocks delimited by curly brackets

  • DVT-5114 Module diagram hyperlinks not working when navigating using breadcrumb

  • DVT-5123 Update the legend of module flows diagrams with hyperlink hints

  • DVT-5136 Update default SystemVerilog language Syntax to SystemVerilog 2012

  • DVT-5138 Wrong editor tab tooltip for DVT Auto-Linked files starting with e-Language/SystemVerilog/VHDL prefixes

  • DVT-5158 Code folding and double click selection on `elsif

  • DVT-5177 Added support for non standard construct 'interface::self()'

Bugfixes

  • DVT-4033 Report an Issue: "save as zip" not closing the wizard

  • DVT-4745 Two spaces in generated wire declaration when doing a module auto-instance

  • DVT-4802 Black box module should inherit the ports direction using the enclosing module or other instantiated elements

  • DVT-5078 Gate primitives not shown in instance tree

  • DVT-5092 Cancel does not work while generating HTML Documentation with diagrams

  • DVT-5116 Formatter hangs with certain vertical alignment token sets

  • DVT-5117 Wrong hyperlink to declaration or assignment when the name of the wire is an escaped identifier that contains ".", "[" or "("

  • DVT-5118 Wrong NON_EXISTING_PACKAGE error triggered when the package name is an escaped identifier

  • DVT-5119 Hyperlink is not working on a class field when its name is an escaped identifier

  • DVT-5122 Wrong jump to editor for ports/signals with similar names

  • DVT-5139 Run configurations session wrapper throws errors in some "sh" replacements (e.g. dash in Ubuntu) due to non POSIX syntax

  • DVT-5142 Refactor rename not working properly when more than 10 files are changed

  • DVT-5156 Double click on Compile Order View during build freezes the DVT

  • DVT-5173 Coverage event 'with function sample()' not allowed if no arguments provided to 'sample'

  • DVT-5180 `default_nettype not correctly computed when instantiating a module (it inherits the `default_nettype from module definition)

  • DVT-5194 New File Wizard does not show an error message when creating a new file in a virtual folder

  • DVT-5196 HTML Documentation: open index.html in the platform's internal web browser (instead of the default editor)

  • DVT-5204 Fixed "Could not set character size" error when displaying diagram's legend and preferences

3.4.3 (21 June 2013)

Enhancements

  • DVT-4542 Pair only elements of same kind when folding code and performing doubleclick selections (like task, class, `ifdef, etc)

  • DVT-5093 Display the relevant documentation when requesting the legend for UML diagrams

  • DVT-5107 Improved the display of ports and signals in the Trace Connection View

  • DVT-5109 Changed shadows indication to overrides indication for class constructors

Bugfixes

  • DVT-3182 Concatenate comments from extern and implementation for inline documentation

  • DVT-4966 Hyperlink jumps to an enum item with the same name instead of module parameter

  • DVT-5038 Types View: after platform restart icons are not visible

  • DVT-5102 Wrong instance name when using escaped identifiers

3.4.2 (14 June 2013)

Enhancements

  • DVT-4223 Persist the Instance Tree Ports View settings

  • DVT-4761 Add a button to show the legend of module flows diagrams

  • DVT-4992 Disable "Use dot from distribution" checkbox in non-linux environments

  • DVT-5086 Automatically detect and ignore VCS-encrypted (binary) SystemVerilog source files

Bugfixes

  • DVT-4223 Persist the Instance Tree Ports View settings

  • DVT-4858 Quick filter in Types View should expand the tree and select the first match

  • DVT-4974 Auto-complete inside "randomize() with { ... }" does not recognize hierarchy past first level

  • DVT-5035 Types View search filter sets focus on wrong type if project has multiple natures

  • DVT-5052 Trace Connections View is not cleaned when closed

  • DVT-5076 Add to default.build does not add build configuration files

  • DVT-5077 Always open with DVT editor when double click in Compile Order View

  • DVT-5083 Trace Connections from Editor does not work on a primitive's port

  • DVT-5091 Instance Tree View memory leak

  • DVT-5094 All refactoring operations should only validate editing of the files that will be modified (make writable/check out)

  • DVT-5095 Incorrect trace path shown in Trace Connections View for signals with the same name

  • DVT-5097 Syntactic error reported on previous included files when unexpected char occurs at the beginning of a file (binary file)

3.4.1 (7 June 2013)

Performance

  • DVT-5050 Trace Connections optimizations for big instance trees

  • DVT-5073 Performance improvement on DVT-Auto Linked files creation (part 2)

Enhancements

  • DVT-4827 Print total build time in human-readable form

  • DVT-4910 Add support for non-standard syntax foreach(a.b.foo().an_array[ i ])

  • DVT-4999 Module instantiation without instance name has wrong label in Instance Tree

  • DVT-5039 Add defined macros to `timescale directive

  • DVT-5074 Added Trace Connections message dialog for operation already in progress

Bugfixes

  • DVT-5026 Diagram preference page incorrectly manages invalid dot executable

  • DVT-5027 Types View drag and drop entity auto-instance does not work on Windows

  • DVT-5028 Trace Connections View memory leak

  • DVT-5034 Quick Types/Compile Order Views should show Select Project dialog if no project is selected

  • DVT-5046 Quick Types/Compile Order Views do not close when trying to open an element that is already opened in an editor

  • DVT-5047 Types View drag and drop module auto-instance doesn't scroll through vlog/vhdl editor

  • DVT-5053 HTML Documentation Wizard overview description file error if the file "readme.txt" is not found

  • DVT-5059 Trace Connections from Editor does not work on interface ports

  • DVT-5063 Path hyperlinks are improperly formatted when file name contains '&' character

  • DVT-5064 Path hyperlinks are improperly formatted when file name contains whitespace

  • DVT-5069 Hyperlink jumps to right location but override annotation goes to gray file

3.4 (2 June 2013)

HIGHLIGHTS

Performance

  • DVT-5032 Performance improvement on DVT-Auto Linked files creation

  • DVT-5033 Performance improvement on implicit net assignment semantic checks

Features

  • DVT-141 Mixed Language Support: Unify Compile Order View and Quick Compile Order View. See Compile Order View

  • DVT-147 Mixed Language Support: Unify Types View and Quick Types View. See Types View

  • DVT-3107 Mixed Language Support: Unify Task Tags Preferences. See Reminders (TODO Markers)

  • DVT-4434 Trace port directly from editor. See Trace Connections from Editor

  • DVT-4724 Mixed Language Support: Unify Project Statistics

  • DVT-4933 Add an indication in the editor that a class function or task is overridden. See Override Functions

Enhancements

  • DVT-3131 Remove checkboxes from the entries in Tasks View

  • DVT-4023 Added Why section in the Trace Connections View to see trace path of a port or signal. See Trace Path

  • DVT-4634 Mixed Language Support: Quick Types View should show information for all languages instead of asking to select a language

  • DVT-4682 Support for drag and drop Verilog module auto-instance

  • DVT-4957 Update OVM/UVM Field Editor "Preliminary Check" dialog

  • DVT-4975 Synchronized Why section with the Trace Connections View

  • DVT-4976 Add icons to the ports displayed in the Why window

  • DVT-4977 Differentiate between sources and destinations in the Trace Connections View

  • DVT-4987 Enable "Change DVT Nature" popup menu action, regardless of current perspective

  • DVT-4990 Mixed Language Support: Quick Compile Order should show information for all languages instead of asking to select a language

  • DVT-5004 Ability to search for ports in the Instance Tree View

  • DVT-5018 Added progress reporting for trace port operations

Bugfixes

  • DVT-4247 Refactor Connect: unable to reuse an existing port when connecting two instances

  • DVT-4674 Diagram "Save as" should update supported extensions when dot binary changes

  • DVT-4714 Refactor Connect: toolbar changes position depending on the order of button presses (ports view, connect toolbar)

  • DVT-4929 Add support for simple wildcards (* and ?) for Instance Tree filtering

  • DVT-4973 Relative paths in environment inherited $SPECMAN_PATH are not resolved as relative to compilation root

  • DVT-5005 Build Config Editor - Some top files include patterns don't get recognized under Windows

  • DVT-5019 Quick Hierarchy View (Ctrl+T) not showing the expected info on class constructor 'new'

  • DVT-5020 Override Method (via autocomplete or right click menu) doesn't work for predefined functions

  • DVT-5031 Connect ports: toggle ports view after performing a connect operation duplicates the connect toolbar

3.3.8 (24 May 2013)

Performance

  • DVT-5010 Performance improvement on post build resolve import (RI) and check for duplicate (RD) steps

Bugfixes

  • DVT-5011 Missing tooltip and content assist for rand_mode() function and task on rand/randc declared fields

  • DVT-5012 Missing tooltip/hyperlink and content assist on implicit iterator of lists (e.g list.find_index(my_index) with { my_index = index; })

3.3.7 (17 May 2013)

Enhancements

  • DVT-3139 Allow creating a new file under the DVT Auto-Linked folder using the New File Wizard

  • DVT-4961 References of a port when using list of instances works only for the first instance (potential matches for the subsequent instances)

  • DVT-4963 Non-standard support for module instantiation without instance name

  • DVT-4981 Non-standard support for keyword 'design' used as an identifier (e.g. 'modport design (...)')

  • DVT-4982 Support for Aspect Oriented Programming (AOP) using +dvt_enable_sv_aop flag in *.build configuration files

  • DVT-4991 Code templates changes: removed 'uvm_1_0_ea' templates and renamed 'uvm_1_1' to 'uvm'

Bugfixes

  • DVT-4815 Fixed MacOS dot crashes by disabling orthogonal routing option for this platform

  • DVT-4834 Files under DVT Auto-Linked roots are not removed when added as linked resources

  • DVT-4959 Registration macro for array of events is not computed correctly

  • DVT-4962 Macros should not be expanded inside strings (e.g. "`DEF_NAME = " should not change if DEF_NAME is defined)

  • DVT-4968 Smart log styles don't work for run configurations

  • DVT-4983 Wrong location of tooltips/hyperlinks at left word boundary

  • DVT-4993 Prevent a dictionary restore when a full build will follow

3.3.6 (27 April 2013)

CLEANUP

  • DVT-4944 Renamed "Trace in Design" as "Trace Drive and Load" to reflect the changed functionality

Enhancements

  • DVT-4661 Build Config: turn off "Unknown directive" warnings by default

  • DVT-4662 Build Config: add support for +dvt_enable_unknown_directive_warnings

Bugfixes

  • DVT-4626 On MacOS dvt.sh issues an error (tee: illegal option -- -)

  • DVT-4803 Custom Dialogs: Directory Files Listing widget presents an empty list on the first run

  • DVT-4879 Expand macros defined like `define a_macro(arg) `macro1``arg`macro2 according to compatibility mode

  • DVT-4904 Non-standard support for missing return type of a method prototype 'function foo()'

  • DVT-4910 Non-standard support for hierarchical method call in 'foreach' construct (e.g. foreach(a.b.foo().an_array[ i ]))

  • DVT-4922 Project right click > Add to default.build should work if .dvt directory does not exist or is empty

  • DVT-4923 Project right click > Add to default.build should refresh default.build file after updating it

  • DVT-4938 Trace Connections View doesn't show the vertical scrollbar

  • DVT-4941 Project right click > Ignore Files does not add the correct path to default.build

  • DVT-4943 DVT Wizards menu entries not visible in DVT Perspective in project right click menu

  • DVT-4947 Some images are not displayed in the built-in documentation

  • DVT-4956 Reapply checks in the linter GUI not working after rebuilding the project

3.3.5 (22 April 2013)

REMOVED

  • DVT-4900 Predefined Projects: uvm-1.1(a,b,c)_ubus projects removed

Enhancements

  • DVT-4876 HTML Doc Wizard: enhanced support for absolute paths for documentation output directory path

  • DVT-4899 HTML Doc Wizard: enhanced support for system variables in paths

  • DVT-4914 Improve Instance Tree filtering performance

  • DVT-4921 Document Instance Tree View filtering

Bugfixes

  • DVT-4849 Predefined Projects: launch configurations might not work because of 32/64 bits compatibility problems

  • DVT-4877 HTML Doc Wizard: open index.html in web browser after generating documentation in a directory outside the project

  • DVT-4878 Trace Connections View doesn't show assign statements

  • DVT-4902 New File Wizard shows an error message when trying to create a new file inside a virtual folder

  • DVT-4912 Slow parsing for large macro expansion stack

3.3.4 (15 April 2013)

Performance

  • DVT-4731 Limit the number of proposals on autocomplete

  • DVT-4851 Code formating is slow when applied to large files

  • DVT-4852 Autocomplete auto-instance slow when large number of modules or large number of ports

  • DVT-4866 Populating the instance tree is slow for large designs

Enhancements

  • DVT-4603 Content assist option to combine both search algorithms (CamelCase and prefix match)

  • DVT-4722 Changed Trace in Design to show the reunion of Trace Drive and Trace Load

  • DVT-4740 Semantic DUPLICATE_ENUM_LITERAL problem should be demoted to WARNING

  • DVT-4799 Add pointers to Code Template property pages in New File Wizard

  • DVT-4800 Predefined Projects: uvm-1.1d library and uvm-1.1d_ubus project added

  • DVT-4813 Updated FlexLM libs to v.11.11.1 to add support for "INCLUDE ... PROJECT" option

  • DVT-4847 Non-standard support for hierarchical method call in 'foreach' construct (e.g. function_call().field[])

  • DVT-4863 Non-standard support for range selection in randomize argument (e.g. std::randomize(rand_var[ 7:0 ]) with ...)

  • DVT-4873 Non-standard support for packed dimension on stream concatenation simple type

Bugfixes

  • DVT-4325 Added fork ... join/join_any/join_none block selection, similar with begin ... end pair

  • DVT-4592 Fixed dvt.sh to work with "-eclispe_args -pluginCustomization ..." arguments

  • DVT-4794 New Project Wizard should not overwrite existing default.build

  • DVT-4830 "Resource is inaccessible" error when the DVT Auto-Linked folder is cleaned

  • DVT-4842 dvt_plugin_installer.sh delete directive uses only the last delete argument and ignores the rest

  • DVT-4861 Code enclosed between `protect ... `endprotect pragmas should not be ignored by compiler

  • DVT-4864 Generating HTML Documentation does not work if a build job is in progress

  • DVT-4868 Autocomplete not inserting the proposals when $ sign in the middle of involved identifiers (function arguments, parameters, candidate name, etc.)

  • DVT-4872 Duplicate error message missing first hyperlink if element declaration line number > 999

  • DVT-4880 NON_EXISTING_PORT error triggered when a port's name is an escaped id containing '.' (dot character)

3.3.3 (2 April 2013)

Performance

  • DVT-4225 Module flow diagram performance improvements for large designs

  • DVT-4742 Slow hyperlink to definition or tooltip in very large files

  • DVT-4743 Slow hyperlink to assignment in very large files

  • DVT-4744 Slow typing or navigating (scroll) through very large files

Enhancements

  • DVT-4308 HTML Doc Batch: Ability to report progress

  • DVT-4758 Jump to assignment should go to the first port connection, if any

  • DVT-4784 Project Statistics - collect macro expansion statistics should be off by default

  • DVT-4769 Rebuild buttons should have the corresponding action in the drop-down menu

Bugfixes

  • DVT-1231 Diagram, HTML Documentation, and OVM/UVM Compliance editor windows should close on project close/delete

  • DVT-4518 Hyperlink and tooltip should point to sequence argument instead of field

  • DVT-4624 Unsupported syntax 'bit[ a:b ] mem[ bit[ c ] ];'

  • DVT-4685 Automatic module instantiation should indent the generated code

  • DVT-4700 For SVN distros, after update to 3.3.1, errors are incorrectly thrown by the DVT Auto-Linked folder

  • DVT-4717 Empty menu entry in Window -> Customize Perspective -> Toolbar Visibility tab-> DVT Build

  • DVT-4725 Predefined Projects - Makefile.questa does not work if the predefined projects are stored in a read only location

  • DVT-4729 Copy full path doesn't work for DVT Auto-Linked folders

  • DVT-4735 Dynamic Shortcuts in Eclipse 4 - generated icons are not visible on the main toolbar

  • DVT-4774 External Questa builder is not working out of the box on Windows

  • DVT-4780 Hide OVM/UVM members on outline view not working after platform restart

  • DVT-4781 Unsupported list associated to a localparam (e.g. localparam [ 7:0 ][ 7:0 ] X = {8'h08 << 1, 8'h08 << 2};)

  • DVT-4782 "default" code template not visible in New File Wizard

  • DVT-4783 Remove footer from "verilog file" code template

  • DVT-4789 Auto-complete on system tasks with no argument adds an extra dollar sign (e.g. $time())

  • DVT-4798 The +dvt_env+ build directive should accept quoting the environment variable value

3.3.2 (22 March 2013)

Bugfixes

  • DVT-4604 Fixed FlexLM client to prevent opening too many threads in some situations

  • DVT-4693 Fixed FlexLM client reconnection problems by reducing reconnection time after idling

  • DVT-4707 Predefined Projects Wizard - some fields are not displayed correctly when the monitor resolution is less than 1024x768

  • DVT-4708 Outline view is notified multiple times after an incremental compile

  • DVT-4710 Compilation fail sometime when the project contains linked resources

3.3.1 (15 March 2013)

Enhancements

  • DVT-4584 Support for uniqueness_constraint construct

  • DVT-4639 Overwrite closing brackets instead of adding another

  • DVT-4658 Support for specparam PULSEPATH$ = constant_mintypmax_expression

  • DVT-4666 Autocomplete in coverpoint field hierarchical access

  • DVT-4675 Diagram save as should append the .<ext> to file name specified by the user

Bugfixes

  • DVT-2006 Fixed hyperlinks, references, tooltips for escaped identifiers

  • DVT-4579 Extend automatic check-out to "Search and Replace"

  • DVT-4619 Hyperlink after keyword virtual should always point to a type and not to a field definition with the same name

  • DVT-4649 Wrong syntax error reported when using event control "edge"

  • DVT-4652 Override Methods - bracket bug in auto generated code

  • DVT-4653 Override Methods should not work inside a comment block

  • DVT-4670 Stack overflow when adding a key binding to a dynamic shortcut

  • DVT-4676 Diagram preview dialog errors out with "cannot find font"

  • DVT-4681 False syntactic error triggered by two consecutive semicolons inside function body block item declaration list

  • DVT-4684 Use of platform dependent FontData fields leads to unexpected behavior in trace connections view

  • DVT-4688 Increase/Decrease Editor Font Size does not work after modifying the default font from Windows -> Preferences

3.3 (10 March 2013)

HIGHLIGHTS

  • Ability to save a diagram in various graphical formats like jpeg, png, svg (see Diagrams)

  • Ability to specify the "Run Configuration Session Type", mainly in order to allow for proper termination of all spawned processes when launching an external tool (see Run Configuration Session Type)

  • Ability to select if a run configuration is a command or a multi-line script (see Run Configurations)

  • Ability to specify one or more "roots" that DVT Auto-Link can use instead of the full hierarchy, in order to flatten the deep Auto-Link hierarchy (see DVT Auto-Linked)

  • New HTML Documentation wizard with support for mixed language projects (see Export HTML Documentation_Wizard)

REMOVED

  • DVT-4370 Removed support for pre DVT 2.9.7 local licenses (DVT_LICENSE_VERSION is not used anymore)

DEPRECATED

Features

  • DVT-4217 Ability to specify one or more "roots" that DVT Auto-Link can use instead of the full hierarchy. See DVT Auto-Linked

  • DVT-4466 Integrated dvt_trap.sh and dvt_xterm.sh functionality in run configurations (see Run Configuration Session Type)

  • DVT-4578 Ability to select if a run configuration is a command or a multi-line script

Enhancements

  • DVT-3780 Mixed Language Support: New HTML Documentation wizard with support for projects with multiple natures

  • DVT-4042 When changing project nature, don't create default.build if .*_top_files already exist

  • DVT-4047 Mixed Language Support: Unify toggle nature actions

  • DVT-4100 Ability to save a diagram in various graphical formats like jpeg, png, svg

  • DVT-4161 Generate wires for all ports when doing module auto-instance

  • DVT-4202 Linter HTML Report generates a ovm/uvm/verssimo.html.report file that can be used to open the report from DVT

  • DVT-4203 Unified Linter/Compliance HTML viewers listed in Open With context menu

  • DVT-4310 HTML Doc Batch: Ability to generate documentation without the xml settings file argument

  • DVT-4321 Show inheritance tree for all classes in a package in the generated HTML Documentation

  • DVT-4343 Enhance autocomplete for `include (take into account the specified incdirs)

  • DVT-4365 Generate Html Documentation in dvt_html_doc directory

  • DVT-4419 Add color preferences page for the *.build configuration file editor

  • DVT-4433 Serialize Instance Tree top module in default.build and automatically populate the view

  • DVT-4464 Outline View option to hide the content behind OVM/UVM macros

  • DVT-4593 Allow sub-second granularity for dvt_debug_utils.sh -thread_dump

  • DVT-4600 Update copyright in plugin description

  • DVT-4640 Don't prompt the user for making files writable, when automatic check-out fails

Bugfixes

  • DVT-2672 & DVT-3005 Console View Terminate does not work (due to background processes holding stdout) is fixed when using a new session (see Run Configuration Session Type)

  • DVT-4083 HTML Documentation for struct/enum and typedefs is not extracted for global scope

  • DVT-4315 Html Documentation Wizard is not in sync with the .xml settings file

  • DVT-4413 Shift + F4 on non-types should not clear the instance tree but bring it into focus

  • DVT-4428 False errors in default.build when multiple directives starting with uvm are present in the dvt compatibility mode

  • DVT-4465 Project root is added to incdir list instead of compilation root

  • DVT-4503 Wrong hyperlink for struct/enum fields in HTML Documentation

  • DVT-4521 Wrong line/file is reported for some directives (e.g. uvmhome) in default.build

  • DVT-4557 Rename "CDN OVM User Guide" to "CDN OVM/UVM User Guide" in Preferences -> Help

  • DVT-4570 BuildConfig Editor doesn't correctly match numbers

  • DVT-4638 New File Wizard - "Browse" button does not work if "Directory" field is empty

  • DVT-4646 Automatic check-out: text following ${selected_resources_loc} variable gets lost

3.2.21 (8 March 2013)

Bugfixes

  • DVT-4638 New File Wizard - "Browse" button does not work if "Directory" field is empty

  • DVT-4643 Fixed duplicate error message path

  • DVT-4644 Fixed duplicate error message shown in the same file when files are outside project dir

3.2.20 (6 March 2013)

Bugfixes

  • DVT-4628 Internal builder triggers external builder for files opened from outside project

  • DVT-4629 Errors reported on a wrong top file when the issue is inside a library (-y) file

  • DVT-4632 Compile order view not showing the correct order for the library (-y) files

  • DVT-4636 Set current build complains about read-only build_config.xml

3.2.19 (5 March 2013)

Bugfixes

  • DVT-4621 Wrong redefined __LINE__ and __FILE__ warnings signaled outside project

  • DVT-4622 Spurious internal debug messages in console

3.2.18 (4 March 2013)

Bugfixes

  • DVT-4590 Tab indent issue for comments

  • DVT-4597 Hyperlinks/tooltips are computed very slow when there is a heavy macro on the LHS of the word

  • DVT-4599 Set current build fails silently when build_config.xml is read only

  • DVT-4605 Source format might fail to check out a license

  • DVT-4606 In OSX, generating a predefined diagram with no dot in PATH and no type compiled opens several windows that cannot be dismissed with the mouse

  • DVT-4613 Memory leak when importing/deleting projects several times

  • DVT-4615 `undefineall directive should not undefine CLI or predefined macros like `__FILE__ and `__LINE__

  • DVT-4616 Add `wrealZState and `wrealXState in the ius.irun compatibility mode build config

3.2.17 (20 February 2013)

Enhancements

  • DVT-4030 Workingset selection toolbar button is missing in DVT perspective

  • DVT-4302 Add buildProject command to DVT CLI

  • DVT-4496 Show [] array indication in autocomplete balloon

  • DVT-4538 Custom Dialogs: when ElementListSelectionDialog widget has many entries the previous selection is restored very slow

  • DVT-4552 Add -blocking flag to DVT CLI refreshProject

  • DVT-4564 Support for non-standard syntax inside task "disable class_name::task_name.block_label;"

Bugfixes

  • DVT-4435 Unwanted sticky selection when reloading a file that changed on disk

  • DVT-4512 Bus width is ignored when connecting ports across the design hierarchy

  • DVT-4551 Don't auto-insert closing bracket )]} if there are characters after

  • DVT-4557 Rename "CDN OVM User Guide" to "CDN OVM/UVM User Guide" in Preferences -> Help

  • DVT-4561 Add support for auto-complete across binds in expressions

  • DVT-4565 Format preference page - NullPointerException when enabling/disabling external program formatting

  • DVT-4566 Format preference page - broken layout for line wrapping note

  • DVT-4572 Auto-indent issues for identifiers that start with keywords

3.2.16 (11 February 2013)

Features

  • DVT-1690 Hooks for scripts to perform automatic check-out when editing a read-only file

Enhancements

  • DVT-3132 Autocomplete only interfaces after 'virtual interface' when inside a class

  • DVT-3384 Button for collapse all grayed out code (along with collapse first level etc)

  • DVT-4504 Restrict proposals to types when "protected" and other qualifiers are used when declaring fields in classes

  • DVT-4540 Support for non-standard 'automatic' lifetime when declaring class constructor

Bugfixes

  • DVT-4418 Double click 'endmodule' selection pairs with 'property' instead of 'module'

  • DVT-4492 When using split editors, selecting a word in one of them reveals the cursor position in the other

  • DVT-4494 Macro reparse stack file paths should be always absolute

  • DVT-4514 Fixed possible deadlock situation in DVT Launch Configuration modification listener

  • DVT-4515 A more informative error message if there is a name collision between an imported project and one from the current workspace

  • DVT-4522 Tooltip on 'new' implicit constructor not working

  • DVT-4523 Sequence declared inside clocking block not correctly handled

  • DVT-4524 Resolve name from "name::" as package or type even if a variable with the same name exist in the context

  • DVT-4537 Refactoring field should update 'coverpoint' and 'covercross' field references

  • DVT-4539 Sequence instance followed by consecutive repetition not allowed as sequence argument

  • DVT-4543 Missing hyperlinks in console log

3.2.15 (28 January 2013)

Bugfixes

  • DVT-4491 Run Configurations wizard is very slow when changing settings

  • DVT-4501 Missing associative index type references in direct association diagram

  • DVT-4497 Console hyperlink is opening the wrong file when both physical and symbolic link files are under project

3.2.14 (23 January 2013)

Bugfixes

  • DVT-4490 Delete at cursor position removes text at the last selected region offset

3.2.13 (23 January 2013)

Bugfixes

  • DVT-4487 Incremental build not always working (sometime not working for the files under project root)

  • DVT-4488 Exception thrown sometime when deleting text under vertical selection

  • DVT-4447 Building a C project will cause ClassCastExceptions to show up in the Error Log

3.2.12 (22 January 2013)

Enhancements

  • DVT-4403 Inconsistent quoting when reporting errors (e.g. Expecting "something", found 'something else')

  • DVT-4468 Update Clearcase plugin to version 2.2.27

  • DVT-4470 Overwriting closing quotation marks after typing some text

  • DVT-4484 Brush-up the defaults for external builders

Bugfixes

  • DVT-4463 Wrong hyperlink from Run Configuration Filters if there are more files with the same name

  • DVT-4472 External builders should read from .dvt_builders if it exists but no external_builders.xml exists

  • DVT-4477 Error reported on the wrong file (e.g. reported on the file that include the one with the error)

  • DVT-4478 Wrong syntactic error reported when event expression used as sequence call argument

  • DVT-4479 Dynamic Run Configurations shortcuts wizard page - cannot change "toolbar order number"

  • DVT-4485 The toolbar containing New Project & File wizards is not visible on the main toolbar

3.2.11 (11 January 2013)

Enhancements

  • DVT-4426 +dvt_env+ directive should accept environment variables in variable names

  • DVT-4436 Improved parser recovery mechanism when syntax errors due to protected code

  • DVT-4459 Dynamic Launch Configuration Shortcuts - show toolbar icon & consider key binding for read-only configurations

Bugfixes

  • DVT-3179 Javadoc auto-complete does not fill in function/task arguments

  • DVT-3642 Select word under cursor and find next occurrence (Ctrl + 8 <=> Alt + Shift + Up, Ctrl + K)

  • DVT-3765 Wildcard patters don't work for Windows network paths like \\...\...\...

  • DVT-3978 Build Config Editor - Environment vars defined in the build file are not shown by content assist

  • DVT-3979 Build Config Editor - Content assist for relative paths will not work properly if compilation root changes

  • DVT-4376 In default.build, when trailing + is missing for a directive, DVT throws an IndexOutOfBounds error

  • DVT-4393 In IUS.IRUN compatibility mode, directives should be case-insensitive.

  • DVT-4423 Code Templates View automatically expands on resource change causing selection loss

  • DVT-4424 In default.build: allow defining env variables with names containing other env variables

  • DVT-4425 External tools reported errors are not always back-annotated to the editor as problems (java exception thrown)

  • DVT-4431 Allow text being selected in a single editor at a time

  • DVT-4437 Project Templates should not consider x_param__ or __param_x as valid parameters

  • DVT-4444 Specman error message not recognized by run configurations filters

  • DVT-4445 Specifying -snpath in default.build without any prior SPECMAN_PATH definition triggers an internal error

  • DVT-4446 In default.build, warn when -snpath appends to an existing SPECMAN_PATH value

  • DVT-4448 Disable DVT section from project properties page if the project does not have any DVT natures

  • DVT-4453 Ability to change path pattern wildcard timeout for default.build by +dvt_path_pattern_timeout+<seconds> directive

3.2.10 (24 December 2012)

Enhancements

  • DVT-1363 Cancel linting when full build is canceled

  • DVT-3105 Change project (update views) when working with editors on config files

  • DVT-3479 Enforce that a project must be specified in lint configurations

  • DVT-4127 Trace port in gate level designs (netlists)

  • DVT-4279 Auto-insert pair character when typing '"', '{', '[' or '('

  • DVT-4291 Hierarchy View - Add new filter for “virtual functions/tasks” in hierarchy view member filters

  • DVT-4303 Auto-indent issue for false-positive keywords (e.g.'super.end_')

  • DVT-4309 Update external builder default command to use ${dvt_current_build_file}

  • DVT-4395 2x -v library scanning speed up in large environments

  • DVT-4397 Support for multiple selection in Directory Files Listing (Custom Dialogs widget)

  • DVT-4398 New Linked Resource Wizard fields are empty when triggered after selecting an Auto-Linked file

  • DVT-4400 Ability to discard the id of a Custom Dialog widget, when its value is empty

Bugfixes

  • DVT-3179 Javadoc style autocomplete sometime not working (i.e. does not fill in function args)

  • DVT-3798 Inline randomization of objects doesn't allow prediction (content assist) of signals

  • DVT-4278 Diagram editor help button doesn't work

  • DVT-4353 Eclipse Juno - Cancel Custom Dialog triggers an error instead of canceling the action

  • DVT-4399 Eclipse Juno - Add support for build buttons and dynamic Run Configuration shortcuts

  • DVT-4404 Refresh on external builders property page does not work after removing/modifying a builder

  • DVT-4417 Misc fixes in UVM code templates

3.2.9 (11 December 2012)

REMOVED

  • DVT-4383 Removed Glance plugin because it was causing problems with views repainting

Enhancements

  • DVT-3809 Buttons on main toolbar for increasing / decreasing the editor's font size

  • DVT-4367 Updated code templates to place cursor in right position after insertion

  • DVT-4379 Cleaned formatting for code templates

  • DVT-3950 DVT CLI should select the project after createProject/importProject

  • DVT-4147 Trigger warnings for the ignored directives encountered in default.build

  • DVT-4180 Add invocations list and number of waived issues to build log

  • DVT-4213 Add refresh command to DVT CLI

  • DVT-4268 In ius.irun compat mode, -libext <ext> should be recognized as a valid directive

  • DVT-4358 Add quit command to DVT CLI

  • DVT-4364 Trigger an error if +/-libext is specified without preceding '.'

  • DVT-4371 Console button for printing build log summary

Bugfixes

  • DVT-4169 On some OSs, after Quick actions (e.g. Quick Outline) the focus is lost for entries in the current file

  • DVT-4184 Cannot delete project from disk, in windows and some linux OSs due to dvt_build.log still held open

  • DVT-4366 File extension resets to default when changing file's name in New File Wizard

  • DVT-4374 Fixed OVM/UVM Field Editor inserting _object_utils over any other _utils

  • DVT-4375 Updated UVM Field Editor to use uvm_object_utils instead of deprecated uvm_sequence_utils macro

  • DVT-4378 Console logs filters don't properly highlight some log message regions

  • DVT-4382 Code templates preview does not use the global font settings

  • DVT-4389 Fixed Project -> Add to Test files, incdir... for Eclipse Juno

  • DVT-4384 The -f include tree is printed multiple times in the build console for mixed language projects

  • DVT-4385 Semantic errors are not reported in Build Console

3.2.8 (4 December 2012)

Enhancements

  • DVT-4209 Changed the generated Verissimo HTML report directory to "verissimo_html_report"

  • DVT-4231 Verissimo checks can be reapplyed one by one from tree's context menu

3.2.8 (4 December 2012)

REMOVED

  • DVT-4361 Removed key binding for "Select Project" because it was in conflict with the new binding for "Redo"

Bugfixes

  • DVT-3984 Added back the key binding Ctrl+Y for "Redo" as it was changed in Eclipse to Ctrl+Shift+Z

  • DVT-4333 Bad indentation on 'if else if else' statements

  • DVT-4359 $root and $unit scope is not correctly computed for hyperlink and hover info

  • DVT-4362 Fixed empty key bindings for rebuild internal and external commands

3.2.7 (3 December 2012)

Enhancements

  • DVT-4069 Updated Eclipse Platform to 3.8.1 and all the plugins from distribution

  • DVT-4156 Semantic references search in the current file

  • DVT-4222 Hierarchical Filtering in the Instance Tree View using slash (/)

  • DVT-4272 Run Configurations Launch Command - add support for Windows

  • DVT-4294 Issue error in default.build if -y is specified but no +libext+ directive

  • DVT-4311 Show file extensions based on project's natures in New File Wizard

  • DVT-4318 Added default.build editor color preferences in DVT Themes

  • DVT-4324 HtmlDoc comment pragma to exclude types from documentation (@dvt_no_html_doc)

  • DVT-4328 Added invocation number for console reported syntactic errors

  • DVT-4332 Support for soft constraints (under "1800-2012" keyword set)

  • DVT-4339 Disabled Eclipse Update Site in distributions to speed up the updates (to enable use Preferences -> InstallUpdate -> Available...)

Bugfixes

  • DVT-3990 Bad index (incremented) in syntax error report when errors at the end of the file

  • DVT-4036 OVM/UVM Field Editor should use ovm_field_utils_begin for virtual classes

  • DVT-4060 Wrong key used by OVM/UVM field editor for ovm_field_aa_int_key

  • DVT-4081 In ius.irun compatibility mode, for -xvm[ home ] directives, report errors on the -xvm[ home ] line instead of next +dvt_init

  • DVT-4164 Fixed default spelling dictionary for DVT distros

  • DVT-4211 In column selection mode, fixed line duplication when inserting a space after closing item (ex. after endfunction)

  • DVT-4305 Wrong tooltip on variables of enum type

  • DVT-4314 Missing text wrap in generated HTML documentation

  • DVT-4322 Incremental `include files are not correctly handled in a multiple invocations build config

  • DVT-4330 Spurious AssertionFailedExceptions might occur when switching current build

  • DVT-4350 Autocomplete issue when function/task argument name matches one of the template variable name (e.g 'file_name')

  • DVT-4351 Missing toolbar labels (in Window -> Customize Perspective -> Toolbar visibility)

3.2.6 (19 November 2012)

Enhancements

  • DVT-4075 Added 'add directory as incdir' action (right click on a directory -> Project Menu -> Add as Incdir)

  • DVT-4185 Added Custom Dialog screenshot to documentation

  • DVT-4216 Right-clik on a folder in DVT Auto-linked and Link Resource in Project Root

  • DVT-4254 Inserted code template by drag & drop from Templates view now indents the code

  • DVT-4257 Added a Build tab for DVT Generic Launch Configuration

  • DVT-4282 HtmlDoc - option added to remove "by <username>" watermark in the generated .html pages

  • DVT-4285 Update the problem markers differentially

Bugfixes

  • DVT-3888 Skipped/Ignored files are not properly decorated

  • DVT-4137 Code templates editor now has the same background color as the DVT editor

  • DVT-4240 Bad begin/end delimiters matching (by double-click) due to assert property

  • DVT-4259 Tracing signal missing connections when signals declared inside generate block

  • DVT-4269 Slow editing when block selection mode is used on hundreds lines of code

  • DVT-4270 System variables are not translated for -uvmhome directive

  • DVT-4271 UVM library path is not computed correctly from -uvmhome directive

  • DVT-4280 Incremental build duplicates errors when file is a symbolic link

  • DVT-4283 Sometimes opening a file causes its error markers to disappear

  • DVT-4284 Incremental build disables marker limits for the semantic problem markers

  • DVT-4286 In default.build, some windows paths are interpreted as unicode

  • DVT-4290 In vcs compatibility modes, un-escaping of strings does not work correctly in argument files included with -file directive

  • DVT-4297 Code Templates View hangs when creating a new template

  • DVT-4300 Sometimes semantic errors are not restored at platform restart

3.2.5 (6 November 2012)

REMOVED

  • DVT-3975 Removed outline view link with editor feature (not always working correctly on lazy tree)

Enhancements

  • DVT-3827 Update error markers when opening a file that has errors but no markers (due to Problems View marker limits)

  • DVT-4212 Automatically infer arguments for macros aliases

  • DVT-4221 Ability to Show Instance Tree on right-click on a node in the Instance Tree View

Bugfixes

  • DVT-3565 HTML Documentation - Extract comment from output/input port declaration

  • DVT-4132 Custom dialog example missing from File > New > Example menu

  • DVT-4146 System variables not expanded for +dvt_compilation_root directive

  • DVT-4162 Auto-complete inside 'if (...)' in an always block does not show wires and ports

  • DVT-4187 In tooltip, local variable name is replaced with internal __identifier__

  • DVT-4189 Escaped identifier and identifier are semantically same element

  • DVT-4200 Un-escaping of strings in default.build does not work

  • DVT-4220 Wrong notification about empty default.build when using dvt_sv_lint_gui.sh

  • DVT-4224 Missing connections between submodules in module flow diagram

  • DVT-4237 Some compile options like +libext+ or -y, -v are evaluated globally and not per invocation

  • DVT-4260 Preprocessing defines management across multiple invocations in default.build is broken

  • DVT-4261 Wrong syntax error on 'for' generate loop following a block definition

3.2.4 (26 October 2012)

Enhancements

  • DVT-3607 Compile Order View - Ability to see where a file is included from. See Compile Order View

  • DVT-4095 Support for non-standard hierarchical identifier for cover point inside 'binsof' specification

  • DVT-4097 Support for non-standard array of typedef struct data type

  • DVT-4102 Predefined Projects - uvm-1.1c library and uvm-1.1c_ubus project added

  • DVT-4103 Support for non-standard specify $width ( negedge clr, 1, , notif );

  • DVT-4104 Support for primitive without a table (the table can be in protected code)

  • DVT-4106 Support for nonstandard typedef covergroup

  • DVT-4183 Support for non-standard empty arguments list '()' when arguments are declared inside function/task body"

Bugfixes

  • DVT-4084 Enter after /** regenerates comment leading to a wrong comment e.g. /** * * * */ * * */

  • DVT-4098 Compilation errors on "let" constructs

  • DVT-4105 In ius.irun compat mode the -uvmhome directive searches for ovm_pkg.sv instead of uvm_pkg.sv

  • DVT-4126 New Project Wizard - Unable to create a project when the project location is changed

  • DVT-4176 Build Config Editor: incorrect autocomplete proposals for +dvt_e_sn_which

  • DVT-4177 Build Config Editor: no tooltip for directives that set internal builder properties

  • DVT-4182 Compilation errors on macro parameters declarations on multiple lines

  • DVT-4188 in ius.irun compatibility mode, DVT does not recognize the -ccext flag

  • DVT-4205 Fixed custom ruleset checks name based on library attribute

3.2.3 (17 October 2012)

Enhancements

Bugfixes

  • DVT-4088 New Project Wizard - add option to specify the project's name. See Open a Project

  • DVT-4092 New File Wizard exception when creating files that are not associated with DVT editors

  • DVT-4093 Html Documentation - Exception on wildcard index type for associative arrays

3.2.2 (12 October 2012)

Enhancements

  • DVT-3618 Instance Tree View: option to copy instance path (right-click menu).

  • DVT-4045 Store predefined diagrams (and diagrams generated via shortcuts) in the dvt_diagrams/ directory

Bugfixes

  • DVT-4078 For certain irun versions, in ius.irun Compatibility Mode, the -ovm/-uvm directive does not infer correctly the irun installation location

  • DVT-4079 Code templates not visible when creating them from the Code Templates View

3.2.1 (11 October 2012)

Enhancements

  • DVT-4062 Show busy indicator when generating large diagrams

  • DVT-4066 Also dump "generate blocks" in instance tree dump

  • DVT-4067 Improve instance tree view performance on trees over 100k elements

  • DVT-4072 Ability to include ports by name in module diagrams

Bugfixes

  • DVT-4071 Selection slow-down in DVT editors

  • DVT-4073 Too many view (instance tree, types, layers, etc.) update notifications received during build

3.2 (9 October 2012)

HIGHLIGHTS

  • Support for multiple build configurations.

  • Enhanced the support for mixed language projects. Hyperlinks, design hierarchy, tracing and diagrams work across Verilog and VHDL.

  • Unified default.build instead of .edt_top_files, .vlog_top_files and .vhdl_top_files. See Build Configurations.

  • Enhanced Design Diagrams including colors, click-through design hierarchy and bread-crumb.

  • Enhanced Trace Connections by analyzing concatenations, bit selection and assign statements.

  • Out of the box Architecture UML Diagrams and Sequences UML Diagrams.

REMOVED

  • DVT-4021 Removed eclipse.sh and eclipse.bat scripts (deprecated in 3.0.4)

DEPRECATED

  • DVT-3498 Deprecated internal builder preference "Restrict '*.v' and '*.vh' files to Verilog-2001 syntax" (use Language Syntax directives instead). See All Build Directives.

  • DVT-3669 Deprecated .dvt/.edt_top_files. .dvt/.edt_defines, .dvt/.edt_ignore_files, .dvt/.edt_test_files, .dvt/.edt_specman_path. See Build Configurations.

  • DVT-3708 Deprecated dvt_cli.sh createSVProject (use createProject -lang e instead) See Command Line Interface.

  • DVT-3883 Deprecated internal builder preference pages. See Build Configurations.

  • DVT-3885 Deprecated disable_ext+all (use +dvt_ext_unmap_all instead). See All Build Directives.

  • DVT-3997 Pop-up deprecation warning when using old .dvt/.*_top_files configuration files with the ability to dismiss per session. See Build Configurations.

CLEANUP

  • DVT-3937 Move language specific workspace preferences under the DVT section

  • DVT-3938 Move language specific project properties under the DVT section

  • DVT-3891 Mixed Language Support: Modify "add to top files" to "add to *.build"

  • DVT-4052 Rename run_dvt_from_rawtokens.sh to dvt_from_rawtokens.sh

  • DVT-4053 Rename .dvt/.dvt_builders file to external_builders.xml. See External Builders.

  • DVT-4054 Rename .dvt/log_styles file to log_styles.xml. See Smart Log

Features

  • DVT-130 Mixed Language Support: Unify the Instance Tree View.

  • DVT-1088 Support for multiple build configurations. See Build Configurations.

  • DVT-2846 Mixed Language Support: Unify .*_top_files under default.build. See Build Configurations.

  • DVT-3085 Autocomplete in *.build and command (*.f) files in general

  • DVT-3577 Mixed Language Support: Module diagrams for mixed Verilog and VHDL projects. See Design Diagrams.

  • DVT-3890 Mixed Language Support: New editor for *.build files. See Build Configurations.

  • DVT-3893 Mixed Language Support: Unify the wizards for creating projects and files

  • DVT-3907 Mixed Language Support: Unify the external builders. See External Builders.

Enhancements

  • DVT-68 Support for compilation root specification in default.build. See All Build Directives.

  • DVT-554 Dropdown for selecting a specific external builder. See External Builders.

  • DVT-2608 Cross language check when reporting undeclared components/modules

  • DVT-3089 Propagate system variables to external tools integration. See External Tools Integration.

  • DVT-3246 Semantic error for duplicate port connections in an instance

  • DVT-3252 Improved file navigation for the files exceeding 10K lines

  • DVT-3335 Support for generate blocks for refactor connect ports

  • DVT-3354 Option to hide typedefs in the select types dialog for class diagrams. See Class Diagrams.

  • DVT-3355 Option to hide the ovm/uvm package types in the select types dialog for class diagrams. See Class Diagrams.

  • DVT-3356 Out of the box UML Diagrams: Architecture diagrams and Sequences diagrams. See Architecture UML Diagrams and Sequences UML Diagrams.

  • DVT-3359 Trigger diagrams from the Types View, Instance Tree View and Editor context menu. See Design Diagrams.

  • DVT-3397 +dvt_init+ should behave like a new parsing invocation. See Build Configurations.

  • DVT-3491 Issue warning when dvt_cli doesn't get both arguments for -map. See Command Line Interface.

  • DVT-3522 Include instances with undefined types in Module Diagrams. See Design Diagrams.

  • DVT-3541 Updated predefined projects. See Predefined Projects.

  • DVT-3555 Customize graph direction (e.g. top-bottom, left-right) for Module Diagrams. See Predefined Projects.

  • DVT-3556 Context menu shortcuts when Tracing Ports for Trace Drive, Trace Load. See Trace Connections.

  • DVT-3559 Context menu shortcut for Module Diagrams to open subinstance diagram. See Design Diagrams.

  • DVT-3578 Add support for +dvt_skip_compile+ directive in default.build. See Build Configurations.

  • DVT-3586 Module Diagrams: ability to navigate back from submodule diagram. See Design Diagrams.

  • DVT-3589 Trace Connections across array selection (i.e. connecting an instance port to several bits selected from a bus). See Trace Connections.

  • DVT-3590 Show signal flow across array selection in Module Diagrams. See Design Diagrams.

  • DVT-3592 Module Diagrams: extend functionality to include simple assignments when determining connections. See Design Diagrams.

  • DVT-3603 Shortcut for opening submodule diagram. See Design Diagrams.

  • DVT-3615 Customize the "u_<module name>" module instance name when using content assist (auto-instance). See Module Automatic Instantiation.

  • DVT-3659 Trace Connections through assign statements. See Trace Connections.

  • DVT-3680 Use customizable colors in module flow diagrams. See Design Diagrams.

  • DVT-3691 Refactor add port ability to add ports of other types like: logic, structure, multidimensional arrays. See Add New Port to Module.

  • DVT-3715 Include instances of undefined type when Tracing Port Connections. See Trace Connections.

  • DVT-3729 Support concatenations when tracing connections. See Trace Connections.

  • DVT-3751 Add a -perspective switch to the dvt_cli.sh createProject command. See Command Line Interface.

  • DVT-3762 Refactor connect instances support for array of instances. See Connect Instances Across the Design Hierarchy.

  • DVT-3788 Improve semantic checks for modules with concatenated ports when using .* notation

  • DVT-3796 Add external tools variable containing the path to current build file. See External Tools Integration.

  • DVT-3819 Trace Connections through concatenated port aliases. See Trace Connections.

  • DVT-3824 Support for case-insensitive directives in ius compatibility modes. See All Build Directives.

  • DVT-3857 Tooltip colors (mouse hover, folding, errors) can be configured from Preferences -> General -> Appearance -> Colors and Fonts

  • DVT-3886 Mixed Language Support: Unify "Nothing to compile" dialog with the ability to dismiss per session

  • DVT-3908 dvt_plugin_installer.sh for customizing the Eclipse installation works with any update site

  • DVT-3892 Update predefined projects. See Predefined Projects

  • DVT-3923 Signal error when multiple -work flags are provided for the same invocation, and only consider the first one. See Build Configurations.

  • DVT-3926 Alias +dvt_setenv+ to +dvt_env+. See Build Configurations.

  • DVT-3927 Warn when encountering unknown +dvt_ directives in default.build. See Build Configurations.

  • DVT-3948 Prompt for project selection when rebuild/clean is triggered if no project is selected

  • DVT-3953 Keyword-set support for ius.irun -v95 compatibility. See Build Configurations.

  • DVT-3968 Trace Connections across Arrays of Instances. See Trace Connections.

  • DVT-3969 Trace Connections across wires inside Blocks. See Trace Connections.

  • DVT-3972 Add Module Diagrams support for concatenation {signal1, signal2} in instance Port Connections. See Design Diagrams.

  • DVT-4003 Build configuration directives for controlling build log: +dvt_build_log_to_console, +dvt_build_log_to_file, +dvt_build_log_file

  • DVT-4005 Add support +dvt_reparse_duplicate_top_files+ directive in default.build. See All Build Directives.

  • DVT-4006 Add support for +dvt_incremental_compile_max_lines+ directive in default.build. See All Build Directives.

  • DVT-4007 Add support for +dvt_auto_link+ directive in default.build. See All Build Directives.

  • DVT-4011 Add support for comment extraction preferences in default.build. See All Build Directives.

  • DVT-4012 Predefined Projects wizard - add progress monitor while copying a project

  • DVT-4018 Mixed Language Support: Add Code Templates View to the DVT unified perspective. See Code Templates View.

  • DVT-4046 Detailed messages for refactor connect ports errors

Bugfixes

  • DVT-3042 Syntax Page - Restore defaults does not work

  • DVT-3150 Match begin - end for "property - endproperty" does not work

  • DVT-3446 Prompt for Module Diagram regeneration after code changes only if it will look different or the project has been rebuilt. See Design Diagrams.

  • DVT-3530 Import package directive triggers an error if the package is declared in VHDL

  • DVT-3557 Wrong setup for uvm_ref_flow predefined project

  • DVT-3706 Don't allow creating a no-nature project with dvt_cli.sh. See Command Line Interface.

  • DVT-3728 Unexpected token error reported on module when using -cuname

  • DVT-3749 Unexpected switches passed to dvt_cli.sh are treated as arguments of the last known switch. See Command Line Interface

  • DVT-3778 dvt_cli.sh should detect locked workspaces and die quickly and gracefully. See Command Line Interface

  • DVT-3846 Syntax error due to multiple declaration of signals for refactor connect ports

  • DVT-3922 +define+ directives in default.build should not be visible across multiple invocations

  • DVT-3970 Improve the Instance Tree Top Items list by looking for instances inside Blocks

  • DVT-4008 Tooltip doesn't show package info for classes

3.1.16 (5 October 2012)

Bugfixes

  • DVT-4026 Optimize workingset creation via dvt_cli.sh createProject / importProject

  • DVT-4029 Tooltips/hyperlink not working for ports of an array of module instances

3.1.15 (3 October 2012)

Enhancements

  • DVT-4022 Support escaped quotes in build configuration files

3.1.14 (27 September 2012)

Enhancements

  • DVT-3046 Linter waivers include functionality

  • DVT-3689 Added refactoring connect ability to specify the new port type

Bugfixes

  • DVT-3977 Limit console log filters to match max. 8 consecutive lines and 256 characters per line for each error/warning message (customizable) (more details here)

  • DVT-3992 Platform freeze sometime after pressing text formatting button

  • DVT-4000 'Insert spaces for tabs' option no longer working correctly

3.1.13 (18 September 2012)

Bugfixes

  • DVT-3965 One key indentation not working when "Insert spaces for tabs" preference is selected

  • DVT-3971 Outline view selected element is not revealed (scroll view) when linked with editor

3.1.12 (13 September 2012)

Enhancements

  • DVT-3084 An easier way to copy the full path to the file in the editor (more details here)

  • DVT-3920 Improve duplicate error messages and debugability

Bugfixes

  • DVT-3644 Refactor connect generates code inside comments

  • DVT-3779 Refactor connect issue when instance name and module name are the same

  • DVT-3782 Refactor connect issue when module name is any substring of the word "module"

  • DVT-3783 Refactor connect issue when instance name is any substring of the instantiated module name

  • DVT-3784 Refactor connect issue when connecting two instances of the same module generates ports that are positioned wrong

  • DVT-3785 Refactor connect issue when an instance is inside an if-block that is inside a for-block

  • DVT-3961 Refactor connect issue when instances or modules are inside a #ifndef-block

  • DVT-3956 When opening an auto-linked file from a run configuration console link it is opened as outside project

  • DVT-3957 Updated Clearcase plugin to latest to fix a compare from history bug

  • DVT-3962 Fixed default radix for OVM Field Editor

  • DVT-3963 Fixed OVM Field Editor adding default radix for UNSIGNED fields without user's explicit action

  • DVT-3964 Fixed OVM Field Editor event fields registration

3.1.11 (7 September 2012)

Enhancements

  • DVT-3701 Directives for controlling file extension to Language Syntax mapping

Bugfixes

  • DVT-3915 Internal builder logs - missing highlighting & hyperlinks

  • DVT-3928 When opening an auto-linked file through dvt_cli.sh it is compiled out of any context

  • DVT-3929 Content assist and hyperlink issues when using 'this' followed by a local (private) member (e.g. "this.local_member.some_API")

  • DVT-3932 Updated Clearcase Plugin to fix diff view problem

3.1.10 (1 September 2012)

Enhancements

  • DVT-3638 Formatting option not to indent module content

  • DVT-3875 Updated Terminal plugin and added terminal colors in DVT themes

  • DVT-3887 Added launch configuration example for Questa on Windows (in uvm-1.1_ubus predefined project)

  • DVT-3901 Added support for non-standard goto repeat '[->' and non-consecutive repeat '[=' operators after non-boolean expressions

  • DVT-3916 Added indication for 'rand' class properties in HTML documentation

Bugfixes

  • DVT-3137 Added exit to launch configurations interactive shell example to prevent throwing DVT in background

  • DVT-3272 Formatting module instance declaration the same way we format instance module declaration

  • DVT-3290 Formatting line wrapping skips lines ending with literals

  • DVT-3306 Formatting should indent `ovm_field*/`uvm_field* macros

  • DVT-3319 Formatting issue for vertical alignment

  • DVT-3346 Formatting region is not kept after format

  • DVT-3727 Formatting issue with '{}' between '()'

  • DVT-3807 Logger exceptions occur when restoring project rght after platform startup

  • DVT-3872 Unexpected char errors due to non-ASCII chars in build files

  • DVT-3873 For semantic errors, the file compile index should not be reported in the console

  • DVT-3878 Creating waiver from hit should include a message pattern

  • DVT-3894 HTML Documentation - macro comments not visible

  • DVT-3897 Predefined macros for compatibility modes should not trigger warnings on user redefinition

  • DVT-3898 Whitespace after a no-value macro definition changes macro value (redefined warning issued)

  • DVT-3900 DVT_SV_ENABLE_MIXED_VERILOG_MODE=false doesn't work

  • DVT-3905 Fixed dvt_sv_lint_gui.sh deprecated argument parsing

  • DVT-3906 In a mixed project, incremental build on a VHDL or e-Language file multiplies Verilog semantic errors

  • DVT-3914 Fixed formatter bug when double bracket is closed

  • DVT-3918 Invisible Trace Ports tree item on Windows

3.1.9 (13 August 2012)

Removed

  • DVT-2957 Removed SOLARIS release

Features

  • DVT-3090 Added Terminal plugin to Linux and MacOS distros

  • DVT-3441 Updated statically compiled dot and used by default in Linux distos

Bugfixes

  • DVT-3793 Wrong syntax error on macro using defines in the argument replacement

  • DVT-3848 Errors/warnings on file specified through multiple symlinks are reported as out of the project

  • DVT-3849 File specified through multiple symlinks is incrementally recompiled as out of the project after platform restart

  • DVT-3856 Restore defaults on Internal builder page not consistent with the defaults at project creation time

  • DVT-3858 Pulse control specparam triggers syntactic error

3.1.8 (7 August 2012)

Enhancements

  • DVT-2898 Updated viPlugin build

  • DVT-3841 Updated all plugins in distribution

Bugfixes

  • DVT-3831 Hyperlink from default.build opens files specified with paths going through symlinks as gray files (outside project)

  • DVT-3830 File specified through multiple symlinks is incrementally recompiled as out of the project

  • DVT-3840 Exception thrown when using preprocessing (`ifdef, `ifndef, etc.) auto-complete

  • DVT-3842 Fixed argument passing in deprecated scripts

3.1.7 (2 August 2012)

Performance

  • DVT-3818 Move resolve duplicates (RD) and resolve type declaration on assign (RNA) on extended checks

Enhancements

  • DVT-3606 See file compilation index when inspecting errors in the build console

Bugfixes

  • DVT-3764 Obsolete syntax errors might re-appear on reapply waivers

  • DVT-3805 Exception when selecting a closed project in the DVT perspective while Types View is open

  • DVT-3806 Exception when selecting the Types View in the DVT perspective before restore is done

  • DVT-3807 Logger exceptions occur when restoring a project right after platform startup

  • DVT-3816 'do { ... } while (...);' loop syntax breaks the formatting

  • DVT-3821 Move Resource Filters UI to DVT Section in project properties

  • DVT-3822 Macro invocation breaks compilation when provided with wrong escaped identifier not ending with whitespace (e.g `some_macro(\escaped_id,second_param))

3.1.6 (24 July 2012)

Enhancements

  • DVT-3568 Cannot see class declared in a package imported by an imported package

  • DVT-3710 HtmlDoc NaturalDocs - add support for bullet, bold & definitions lists

  • DVT-3711 HtmlDoc NaturalDocs - add support for headings

  • DVT-3712 HtmlDoc NaturalDocs - add support for code, text diagrams & images

  • DVT-3787 Abort linting if ruleset file has syntactic errors.

Bugfixes

  • DVT-3768 Resource Filters - recursive symlinks not visible in UI

  • DVT-3769 Wrong syntax error - init packed array of structs

3.1.5 (11 July 2012)

Enhancements

  • DVT-3747 Add support for custom location on toolbar for Run Configuration buttons

Bugfixes

  • DVT-3757 Fixed "Connect Ports" button

  • DVT-3767 Fixed linter rerunning failed checks twice

3.1.4 (10 July 2012)

Enhancements

  • DVT-3490 Ability to avoid full build when importing a project, by restoring from a saved snapshot

  • DVT-3717 Performance issue on checking and auto-declaring signals connecting module instances

  • DVT-3718 Added support for non-standard missing timing check limits on $setuphold() syntax

  • DVT-3725 Ability to specify custom per-project location for the DVT database with +dvt_db_location flag in default.build

  • DVT-3734 Changed linter rule IDs from OVM to XVM

  • DVT-3736 Removed linter user_prefs and default_prefs files and flags

  • DVT-3737 Added linter manual_checks_status.xml to store the status of manual checks from GUI(replaces user_prefs)

  • DVT-3738 Added linter user_notes.xml to store the user notes form GUI (replaces user_prefs)

  • DVT-3739 Deprecated linter flag -ovm_prefs and replaced it with -linter_preferences

Bugfixes

  • DVT-3716 No incremental compilation on non-default extensions even if file associations and content types are properly set

  • DVT-3719 False non-existing port when using '.port(expression)' declaration syntax

  • DVT-3731 Waivers are not applied at project restore

  • DVT-3735 Fixed linter gen_rulepool_doc for UVM with -uvm flag

  • DVT-3746 Fixed argument testing problem in dvt_sv_lint_gui.sh

  • DVT-3752 Macro replacement fail when provided arguments are strings and first string contains the second argument name

3.1.3 (2 July 2012)

Enhancements

  • DVT-572 & DVT-3328 Added a linter preference for considering NOT_APPLIED as PASSED, ERROR or NOT_APPLIED

  • DVT-1321 Added Reapply Failed Checks button in Linter GUI

  • DVT-3389 Added search icon in the generated HTML documentation

  • DVT-3403 Linter preferences tab will be grayed out when the preferences file is not writable

  • DVT-3569 Added support for non-standard syntax: "for (++count; count < 10; ++count)"

  • DVT-3574 Show only files with errors in Compile Order View

  • DVT-3584 Trace Connections - extend functionality to trace through simple assignments

  • DVT-3588 Added progress dialog for Directory Files Listing widget (Custom Dialogs)

  • DVT-3615 Customize the "u_<module name>" module instance name when using content assist (auto-instance)

  • DVT-3634 Added server name and license count on DVT License Info Page

Bugfixes

  • DVT-3150 Match begin - end for "property - endproperty" does not work

  • DVT-3416 Hierarchy View presents implicit constructor

  • DVT-3505 Tooltip and proposals not working when no explicit import package directive

  • DVT-3579 HAL Builder - errors and warnings from the external builder are not visible in the problems view

  • DVT-3609 Resource Filters Dialog - ignore a directory linked to one of its parents

  • DVT-3616 Internal error when using +dvt_env+<var> without assigning a value

  • DVT-3630 Should only invoke internal builder when asking for project rebuild

  • DVT-3646 Fix parameter declaration for non-ANSI header for refactoring

  • DVT-3647 Fix parameter declaration for ANSI header for refactoring

  • DVT-3648 Fix code generation for ANSI header port and port direction for refactoring

  • DVT-3651 Remove class property 'NON_STANDARD variable dimension in constant declaration' to avoid inconsistency

  • DVT-3658 Internal builder preference "Restrict '*.v' and '*.vh' files to Verilog-2001 syntax" does not work when using .vlog_top_files

  • DVT-3670 Mark occurrences stop working on the first cursor change when repeatedly deleting a line

  • DVT-3674 Fix instance list for refactor connect

  • DVT-3676 Path wildcard not taken into account when using only '?'

  • DVT-3692 Resource filters & CLI: -excluded folder doesn't show up in project, but it shows as unexcluded in resource filters

  • DVT-3694 Hyperlink to `included file jumps to another file with same name

  • DVT-3702 dvt_cli.sh documentation errata: excludes managed by DVT's Resource Filters UI are only those specified by projectRelativePath

3.1.2 (15 June 2012)

Enhancements

  • DVT-2907 Document Compile Waivers.

  • DVT-3488 Support translate_on and translate_off pragmas All Build Directives.

  • DVT-3542 VCS.vlogan compatibility mode - add +define+VCS to list of built-in defines

  • DVT-3543 Warn deprecated directive usage in build config files

  • DVT-3393 Added event 'triggered' property

  • DVT-3554 DVT sanity check - check for available space in .eclipse location instead of "home"

  • DVT-3583 Instance Tree View - ability to dump to file the list of autodetected top modules

Bugfixes

  • DVT-3350 Refactor rename/reference search potential match when having multiline comment right next to the element

  • DVT-3571 Under FC15 OS the -s option of dvt_cli.sh does not suppress all messages

  • DVT-3575 dvt_cli.sh script documentation does not work

  • DVT-3582 Dynamic shortcuts - icon path support for environment variables

  • DVT-3585 Dynamic shortcuts - rename Accelerator > Shortcut

  • DVT-3595 Quick hierarchy on function/task doesn't shows correctly the implementation in the children classes

3.1.1 (6 June 2012)

Enhancements

  • DVT-2550 DVT CLI - ability to specify project-relative paths with -map argument

  • DVT-3489 dvt_cli.sh create*Project commands should pick up existing build config files if no build config flags are specified (e.g. -f, -top, -test)

  • DVT-3540 Automatically refresh .dvt folder at full build

  • DVT-3546 dvt_cli.sh should automatically infer hostname of the running DVT instance

  • DVT-3553 Updated predefined projects build command

  • DVT-3615 Customize the "u_<module name>" module instance name when using content assist (auto-instance)

Bugfixes

  • DVT-3460 Misc. error logs bugfixes: vcs & specman

  • DVT-3544 Generating HTML doc. using DVT naturaldocs - misc bugfixes

  • DVT-3547 dvt_cli.sh excludes are not taken into account at the initial refresh

  • DVT-3548 Implicit wires are not defined on incremental build if +dvt_extended_checks+auto not specified

  • DVT-3549 Pre DVT 3.1 backward compatibility of +dvt_init+vcs.vlogan ordering

  • DVT-3550 Switching from .*_top_files to default.build and back does not work (nothing is compiled)

3.1 (1 June 2012)

Features

  • DVT-1020 Add port to module from selected field

  • DVT-2363 Code Templates View

  • DVT-2450 Create new toolbar button & keyboard shortcut from run configuration (more details here)

  • DVT-2914 Trace Connections across the design hierarchy

  • DVT-3158 Design Diagrams

  • DVT-3221 Logging and debug functionality for Linux/Unix

  • DVT-3348 Connect Instances Across the Design Hierarchy

  • DVT-3361 Resource filters wizard (more details here)

  • DVT-3362 Create linked resources wizard

  • DVT-3401 Add a FIXME comment for the unconnected ports generated by connect ports and add port functionality

  • DVT-3436 Added dvt_updater.sh script for install/uninstall/update operations

  • DVT-3437 Ability to control the Extended Checks execution

  • DVT-3451 Some errors might not be reported in default.build when multiple project natures are activated

  • DVT-3476 Sync DVT CLI usage in script / application / user guide

  • DVT-3497 Add Module Diagrams to HTML documentation

Enhancements

  • DVT-571 Changed the icon for Reapply Linting in Problems View

  • DVT-606 Configurable source formatter

  • DVT-654 Module instance connection by port name signals an error if the port name doesn't exist

  • DVT-1034 Signal non-existent module port in instantiation

  • DVT-1884 Dedicated predefined external builders for OVM/UVM/VMM

  • DVT-2471 UVM 1.1 predefined templates

  • DVT-2476 Support for design libraries and library mappings

  • DVT-2902 Add support for -work -libmap -top +nctop flags in project configuration

  • DVT-2916 Add support for implicit wires

  • DVT-2951 Waivers with DISABLED status can be applyed on passing hits

  • DVT-2952 Added wildcard support for linter waivers

  • DVT-2955 Added overall score in HTML Report for linter checks and hits

  • DVT-2965 Project config files - multiple selection in dialog with proposals for wildcards matching multiple paths

  • DVT-2980 Integration with Altera Quartus II

  • DVT-3010 References (Shift+Ctrl+G) do not work on $time, $stime, $realtime, $printtimescale and $timeformat system tasks

  • DVT-3020 Add implicit net declaration for continuous assigments

  • DVT-3021 Detect too many positional port connections for a Verilog instance

  • DVT-3041 Refactoring Rename- user must be forced to review the changes when potential matches

  • DVT-3061 Add semantic error on continuous assigns to undeclared nets if `default nettype is none

  • DVT-3165 Add support for +dvt_skip_ext+.ext

  • DVT-3196 Check implicit port connections in instantiation

  • DVT-3215 Formatting preference for indenting multiline comments

  • DVT-3235 HTMLDoc - Get module description from file header

  • DVT-3254 Set "Always launch the previously launched application" as default in distro

  • DVT-3259 Suppress dvt.bat terminal in Windows

  • DVT-3263 Added right click on hit to add code waiver

  • DVT-3264 Added one line waiver pragmas for linter

  • DVT-3292 Formatting preference for line wrapping parameters : "Leave as is"

  • DVT-3313 Ability to use "Open with" dvt.bat in Windows

  • DVT-3364 Added apply-on property for waivers to define the scope on which the status is applied

  • DVT-3422 Formatting should be undone in case code was deleted or added during the process

  • DVT-3443 Added build console preference in Preference -> General -> Appearance -> Colors and Fonts -> DVT -> Console

  • DVT-3469 Vertical alignment using comma as a token

  • DVT-3484 Added support for name attribute in ruleset tag used in generated ruleset documentation

  • DVT-3486 Added information about the waiver path in the Verissimo linting configuration dialog

Bugfixes

  • DVT-2833 Formatting issue for fork ... join structure

  • DVT-2885 Formatter issue with task...endtask

  • DVT-2888 Formatting issue with randsequence

  • DVT-2967 False recurrence detected in instance tree

  • DVT-3012 Formatter issue with case using arrays

  • DVT-3043 Formatting issue with "while... begin .. end"

  • DVT-3044 Formatting issue for nested case statements

  • DVT-3062 Waive should create a single logical AND match clause using path and message

  • DVT-3074 Formatting issue with "initial begin ... end"

  • DVT-3148 Formatting issue for "end else begin" group

  • DVT-3149 Formatting issue for "if ... begin ... end " group

  • DVT-3155 Need to double-tab to indent an end(task|function|module...) from beginning of line

  • DVT-3216 Autoindent after newline gives the wrong indent

  • DVT-3219 Line indent is decreased for words starting with "end"

  • DVT-3236 Autocomplete protected task => detcetorp

  • DVT-3255 Typing end in block selection mode behaves strangely

  • DVT-3268 Formatting issue for "disable fork"

  • DVT-3284 The entity "nbsp" was referenced, but not declared - sn 11 / sn_eref.xml

  • DVT-3294 Formatting issue for case with escaped id

  • DVT-3295 Formatting issue for multiline comment on a single line

  • DVT-3296 Formatting issue for "if ... else ... if ... if ..."

  • DVT-3297 Formatting issue for "case : {...}"

  • DVT-3298 Formatting issue for casez, casex, randcase

  • DVT-3299 Formatting issue for "always if begin end"

  • DVT-3303 Formatting issue for loops with label

  • DVT-3304 Formatting issue for "{...}"

  • DVT-3320 Formatting issue for "input ... sequence"

  • DVT-3321 Formatting issue for line wrapping params

  • DVT-3336 Issue with "do while (lit.getSomething(name))"

  • DVT-3345 Formatting issue for if block (without begin) containing only one block

  • DVT-3374 DVT Auto-linked update on rebuild doesn't work when linked resources are changed

  • DVT-3383 Hyperlinks and autocomplete for modport tasks don't work

  • DVT-3385 Rules ARAI, ARMI,ARDI, and ARSI should accept more than a single factory creation call per type

  • DVT-3404 Rules ARAI, ARMI,ARDI, ARSI, UVM30a, UVM20, UVM15a should skip virtual classes

  • DVT-3405 Rules "ARAD Active Agent Has Driver", "ARAS Active Agent Has Driver Sequencer" should skip virtual classes

  • DVT-3406 Rules "ARAD Active Agent Has Driver", "ARAS Active Agent Has Driver Sequencer" should consider inheritance

  • DVT-3407 Rules "ARAS Agent has sequencer" and "ARAD Agent had driver" fail when the sequencer field is a parameterized type

  • DVT-3425 Rules "ARDI Driver Instantiation" and "ARSI Sequencer Instantiation" should accept both "is_active" and "get_is_active()" conditional expressions

  • DVT-3434 Autoindent replaces tabs with spaces

  • DVT-3435 Formatter fails on case block with nested begin end blocks

  • DVT-3450 Recompute port connection semantic errors on incremental build

  • DVT-3467 Formatting preference for vertical alignment tokens is greyed out

  • DVT-3470 Vertical alignment fails for trailing single line comment

  • DVT-3495 Formatting issue : Line wrapping comments should not split multi line comments

  • DVT-3499 Formatting region should keep starting line indent as reference

  • DVT-3508 Renaming a modport: "Unexpected error while checking new name"

  • DVT-3509 "Stop Current Rebuild Job" doesn't work when "Build Automatically" is enabled

Usability

  • DVT-3418 Clarify description of rule "CHSC coreboard Support"

  • DVT-3331 Clarify description of rule "OVM30b Virtual Interface Connection in Agent"

  • DVT-3333 Clarify description of rule "ARIO Active and Reactive Components"

3.0.10 (23 May 2012)

Bugfixes

  • DVT-3322 Fixed "See all available licenses" bug when license source contains multiple servers

  • DVT-3428 VCS error filter matches too much text for DFLT_OPT warning message

  • DVT-3459 Fixed a race condition in the license client

3.0.9 (16 May 2012)

Bugfixes

  • DVT-3249 External Builder error decorations are not updated on incremental build

  • DVT-3372 +systemverilogext+; +verilog1995ext+; +verilog2001ext+ should accept multiple extensions separated by +

  • DVT-3431 Internal builder preference "Restrict '*.v' and '*.vh' files to Verilog-2001 syntax" does not work

  • DVT-3435 Formatter fails on case blocks with nested begin-end blocks

3.0.8 (9 May 2012)

Enhancements

  • DVT-2739 Enable internal builder console should be default on

  • DVT-3214 Disable incremental compilation of big files should be default on

  • DVT-3240 Memory cleanup issues on successive project rebuilds

  • DVT-3367 Support for 'local::id' reference inside a foreach loop

  • DVT-3368 Support for non-standard 'typedef enum package_name::id {...}'

  • DVT-3369 Support for non-standard empty 'case endcase' (no case item)

  • DVT-3371 Improved (fast and low memory footprint) alghorithm for searching -y and -v libraries and libraries content

  • DVT-3392 Refresh "dvt_build.log" file when build is done

Bugfixes

  • DVT-2195 Using a recursive define '`define A A' hangs the parser

  • DVT-2262 Misc. external tools console log filters problems

  • DVT-3255 Typing end in block selection mode behaves strangely

  • DVT-3365 "What's New" and "Report an Issue" buttons are visible in other perspectives

  • DVT-3366 Project Templates uppercase - lowercase conversion bug

  • DVT-3370 Multiline comment in macro call arguments is not taken into account

  • DVT-3375 HtmlDoc not generated when module instance tree throws NullPointerException

  • DVT-3382 Diagram wizard takes too much time to open when tens of thousands of classes are available

  • DVT-3386 Wrong syntax error when declaring parameterized classes without a default specialization

3.0.7.9 (29 April 2012)

Enhancements

  • DVT-3324 Hierarchical identifier in modport port declaration support (non-standard)

  • DVT-3325 Optional integer vector type or identifier before packed dimension in enum base type declaration support (non-standard)

Bugfixes

  • DVT-3155 Need to double-tab to indent an end(task|function|module...) from beginning of line

  • DVT-3216 Autoindent after newline gives the wrong indent

  • DVT-3219 Line indent is decreased for words starting with "end"

  • DVT-3314 Wrong syntax error reported on sequence assertion variable declaration

  • DVT-3315 When changing the top files sometime the auto-linked folder is not properly updated on the first build

  • DVT-3327 In argument files, some flags don't consider their argument: -file, -cm, cm_libs, cm_line, cm_tgl

  • DVT-3330 Hyperlinks, tooltips and autocomplete for local tasks don't work inside the class

3.0.7.8 (24 April 2012)

Enhancements

  • DVT-3112 Ignore backslashes in command files

  • DVT-3121 Command files: support -flag "several arguments here treated as one" or -flag 'some args'

  • DVT-3215 Formatting preference for indenting multiline comments

  • DVT-3285 Support for non-standard `ifdef, `ifndef, `elsif followed by a macro with parameters (e.g. `ifdef `macro(4))

  • DVT-3287 Support for non-standard unsized dimension in a module or interface parameter assignment

  • DVT-3307 New argument file flag: +dvt_disable_collect_actionblocks

  • DVT-3308 -cuname should trigger error on invalid package name

  • DVT-3309 -cuname is not reset at the end of argument file

  • DVT-3311 Syntax error markers are not displayed at full build after reaching the marker limits

Bugfixes

  • DVT-3280 Autoinstance preserving ports order and comments fails for multiline comments

  • DVT-3268 Formatting issue for "disable fork"

  • DVT-3291 Non-ascii characters trigger errors in argument files (.*_top_files etc.)

  • DVT-3294 Formatting issue for case with escaped id

  • DVT-3295 Formatting issue for multiline comment on a single line

  • DVT-3298 Formatting issue for casez, casex, randcase

  • DVT-3299 Formatting issue for "always if begin end"

  • DVT-3300 Wrong undefined class semantic error reported on usage when the class is defined using 'typedef class name'

  • DVT-3301 The replacement of __FILE__ macro should contain quote e.g. "NA.v"

  • DVT-3302 Add from Template replaces __FILE__, __LINE__ with FILE, LINE.

  • DVT-3305 Ctrl+H isn't updated with the text selection in the editor

3.0.7.7 (13 April 2012)

Enhancements

  • DVT-2586 Support for mixed languages in DVT_CLI

  • DVT-2852 Verilog builder doesn't go through all topfiles when it encounters too many syntax errors

  • DVT-2986 Add -eclipsespace flag for dvt_cli.sh, alias -eclipse_area to -eclipsespace

  • DVT-3109 dvt_cli.sh without -f option doesn't create the .vlog_top_files

  • DVT-3113 Add support for -F for dvt_cli.sh

  • DVT-3117 Add support for -sverilog and +v2k flags

  • DVT-3168 Add to default list of known extensions: .a, .o, .cc

  • DVT-3186 Add support for -mfcu -cuname flags (define a package from a compilation unit)

  • DVT-3198 Non-standard construction: using parenthesis around primitive instantiation output and inout terminals

  • DVT-3199 Reduce memory consumption after project close/delete

  • DVT-3200 Reduce time for error dispatching algorithm

  • DVT-3201 Added more debugging info in console

  • DVT-3212 Add -force flag for dvt_cli.sh importProject (replace existing project with same name)

  • DVT-3228 dvt_cli.sh - remove constraint that the project directory should exist apriori; create if it does not exist

  • DVT-3270 Add support for +systemverilogext+<ex> +verilog2001ext+<ext> +verilog1995ext+<ext> flags in .vlog_top_files

  • DVT-3271 Add support for -extinclude flag in .vlog_top_files

Bugfixes

  • DVT-2851 Toggle mark occurences triggers exception when the active editor is not a DVT editor

  • DVT-2995 Ctrl+H doesn't remember option selection

  • DVT-3203 Fixed multiple NullPoinerExceptions in parser

  • DVT-3211 Sequence method call not recognized as property expression

  • DVT-3226 Incremental build not working sometime when undeclared modules are found in the design

  • DVT-3229 Multiple scanning of the same library file if -v <libfile_path> specified multiple times in topfiles

  • DVT-3238 Duplicate module error reported when using -y directives to files that define more than one unresolved module

3.0.7.6 (30 March 2012)

Enhancements

  • DVT-3120 Support for non-standard syntax: $fatal("string with %d and %d", one, two) - misses first argument that should be a number

  • DVT-3122 Support for non-standard syntax @this.EVT[ hierarchical.id ]

  • DVT-3145 Imbricated expressions slows down or even hangs the parser

Bugfixes

  • DVT-2814 PDF doc links from training labs are broken

  • DVT-3013 Multiple selection dialog on -F hyperlink in top_files although only one file specified

  • DVT-3072 Total number of problems reported in console view is different than total in problems view

  • DVT-3074 Format issue with "initial @ begin ... end"

  • DVT-3076 __FILE__ and __LINE__ get in the way of project templates

  • DVT-3110 Console log errors are not properly hyperlinked

  • DVT-3119 Total number of errors in console varies after successive builds

  • DVT-3133 When creating a linked resource to a folder, the compiled sources contained in that folder should dissapear from auto-linked on next build

  • DVT-3134 Removed default activation of ClearCase action set menu (use -Dro.amiq.dvt.showClearcaseActionSet=true)

  • DVT-3142 Sometimes semantic errors might be reported before syntax errors

  • DVT-3143 Formatting issue for line wrapping bit values

  • DVT-3144 Formatting issue for assert ... else block

  • DVT-3147 Formatting issue for "clocking ... @ ... endclocking"

  • DVT-3151 Formatting issue for "case default "

  • DVT-3152 Formatting issue with multi line comments

  • DVT-3171 Copy full path on linked resource/virtual folder does not work

3.0.7.5 (16 March 2012)

Enhancements

  • DVT-2795 Added an option for "Direct Associations" diagrams in HTML doc extraction (as collaboration is too cluttered)

  • DVT-2816 HTML Doc - show module instances with generate blocks as submodules

  • DVT-2817 HTML Doc - show functions and tasks within modules

  • DVT-2896 Added Cliosoft SOS plugin to distros

  • DVT-2992 DVT Project selector - shortcut (CTRL+SHIFT+Z) & simple list view of open DVT Nature projects

  • DVT-3060 HTML Doc - support .html files for 'Overview description file'

  • DVT-3073 Added JDT (Java Development Tools) plugin to distros

  • DVT-3074 Format issue with "initial @ begin ... end"

Bugfixes

  • DVT-3059 HTML Doc - if 'user menu' is added, 'Finish' button is disabled

  • DVT-3063 Diagrams errors (dot executable invocation errors) during HTML Doc generation

  • DVT-3067 When adding new function arguments, module ports, class parameters the autocomplete shows them in the wrong order after incremental build

  • DVT-3070 New project from template - import all files under .dvt folder

  • DVT-3071 Fixed error when generating diagrams in a path with spaces

3.0.7.4 (8 March 2012)

Enhancements

  • DVT-2997 Added Ctrl+Shift+A as duplicated key binding for "Toggle Block Selection Mode"

  • DVT-3049 Added "See available licenses" button in license page

Bugfixes

  • DVT-3045 Trimming white space characters from license variables

  • DVT-3050 Fixed file license multiple initialization problem

3.0.7.3 (5 March 2012)

Enhancements

  • DVT-2958 Added open dvt_build.log file build console button

  • DVT-2959 Added cancel current rebuild job build console button

Bugfixes

  • DVT-3029 Removed UseCompressedOops from 64 bits linux distros since it can cause segmentaion fault

  • DVT-3030 dvt.sh on MacOS doesn't invoke the right binary

  • DVT-3037 +dvt_keyword_set+<kwset> not working

3.0.7.2 (1 March 2012)

Enhancements

  • DVT-2990 Add to "Compare with" menu the generic resource compare

Bugfixes

  • DVT-3028 Fixed local license problem affecting a small set of features

3.0.7.1 (29 February 2012)

Enhancements

  • DVT-2333 Added Glance plugin to distribution (incremental search in any view)

  • DVT-2535 Update Layers when opening a type with Quick Types View

  • DVT-2807 Search does not locate matches in unsaved files (when no incremental is done)

  • DVT-2981 Option to select where to save the build log file

  • DVT-2996 Ability to keyboard-collapse/expand the nodes in (quick) tree views using left/right arrows

Bugfixes

  • DVT-2833 Formatting issue for fork ... join structure

  • DVT-2885 Formatter issue with task...endtask

  • DVT-2888 Formatting issue with randsequence

  • DVT-2969 Splash and license settings validation sometimes start without using DVT functionality

  • DVT-2972 Refactoring a function/task etc. name doesn't modify the trailing identifier

  • DVT-2973 Refactoring a function name doesn't rename the implicit return variable

  • DVT-2974 Refactoring ports can break .* instantiations since it doesn't rename the local variables referenced in the .* assignment

  • DVT-3011 Wildcards in top files don't work under Windows

  • DVT-3012 Formatter issue with case using arrays

  • DVT-3014 Run configuration filters - ${file} var doesn't recognize Windows paths

  • DVT-3015 Preprocessing optimization issues when the defines are unset

  • DVT-3026 Updated ClearCase plugin to fix the NullPointerException

3.0.7 (13 February 2012)

Features

  • DVT-48 Hyperlinks in argument files (.vlog_top_files, -f, etc.)

  • DVT-533 Setting system variables in DVT for full build

  • DVT-2312 Go to Next/Prev Problem

  • DVT-2903 Ability to specify project configuration for all languages in a single file

  • DVT-2906 Added Show Changed Preferences Dialog in Window menu

Enhancements

  • DVT-1652 Compile Order View shows number of unique files (include may add duplicates) and skipped from optimized compilation

  • DVT-2419 Ability to use system variables in waivers

  • DVT-2453 Option to disable incremental build for large files

  • DVT-2455 Underscore "CamelCase"-like autocomplete for SV

  • DVT-2487 Sort problems in problems view by the creation time/reporting order

  • DVT-2514 Support for multiple DVT_PREDEFINED_PROJECTS system variables

  • DVT-2540 Added Javascript search in generated HTML documentation

  • DVT-2623 Show if a project has multiple natures & their names as a label decorator in navigator

  • DVT-2726 Highlight only the word when jumping to declaration

  • DVT-2750 Problems view - ability to right click and "Waive problems like this"

  • DVT-2753 Vertical alignment option should only align equivalent characters

  • DVT-2805 Show argument files (.f files) tree in console

  • DVT-2821 Option to change javadoc tags font color

  • DVT-2831 Added visual feedback when running graphviz dot (UI is locked, dot errors not reported)

  • DVT-2832 Diagrams - ability to specify custom arguments for graphviz dot

  • DVT-2848 Allow waivers with no name

  • DVT-2856 Option to copy full path in Navigator

  • DVT-2857 Problems view - show extended help from right-click on problem (only nchelp supported)

  • DVT-2868 Rebuild triggers should be ignored if already building the project

  • DVT-2889 Jump to assignment instead of declaration

  • DVT-2891 OVM2: accept package_name::class_name as macro argument

  • DVT-2920 Unexpected token on bit[5] - non-standard construct

  • DVT-2924 Reapply waivers after Create Problem From waiver

  • DVT-2930 Dump build console output on disk

  • DVT-2925 Refine the problem waiving message reported in the Internal Builder console (explicitly specify waiving)

  • DVT-2938 & DVT-2934 Select top module - if more than 10 modules open a filtered selection list

  • DVT-2944 Limit max number of problem markers per problem category and severity to 1000

  • DVT-2948 Report only first 20 errors in DVT Build Console and the total number of errors

  • DVT-2950 Show the instance scope in status bar

Bugfixes

  • DVT-1606 HtmlDoc class constraints - "extern" not visible

  • DVT-2421 References for system tasks do not work

  • DVT-2352 Add $strobe, $monitor, $fopen, $fdisplay, $fstrobe $fmonitor and $fwrite in SV auto complete

  • DVT-2399 Do not show DVT_PATCH in macros view

  • DVT-2472 Autocomplete for .triggered does not work when sequence instance has arguments

  • DVT-2473 Autocomplete and hover for sampled value functions ($rose, $past, etc) doesn't work

  • DVT-2475 Autocomplete for $display does not work inside initial statement

  • DVT-2485 Autocomplete and hover for random number system functions and methods doesn't work

  • DVT-2486 Autocomplete should not add () to sequence methods when they have no arguments

  • DVT-2559 Hyperlink and hover on cast expression do not work

  • DVT-2576 When creating a new file point out that the empty template can be customized just like any other

  • DVT-2742 Instance tree misc bug fixes

  • DVT-2748 Formatting the source sometimes makes the cursor jump to another position

  • DVT-2820 Case selection on enumerated types can’t autocomplete for the case item values

  • DVT-2892 Hyperlink on macro defined after the place where it is used inside another macro definition is not working

  • DVT-2893 OVM 30b - accept "this." in front of monitor or virtual interface field

  • DVT-2894 Syntax error when using direction, net and data type for module port

  • DVT-2913 Fixed deadlock on workspace when a new project is created

  • DVT-2926 Hyperlinks in project configuration files should open dialog with proposals for wildcards matching multiple paths

  • DVT-2936 Html Documentation 'getDeclarationFilePath()' exception

  • DVT-2923 Updating markers for 25K files with problems hangs the UI

  • DVT-2939 Fail to create auto-linked files for network mapped files in Windows

  • DVT-2949 New file wizard - new file's name changed to "new_file"

3.0.6.6 (23 January 2012)

Bugfixes

  • DVT-2877 Several exceptions thrown when closing a project

  • DVT-2881 Crash on Windows platform when outline view linked with editor is active

3.0.6.5 (17 January 2012)

Enhancements

  • DVT-2849 Accept and signal non standard construction: inside expression in constant expression

  • DVT-2854 Ignore known extensions of other languages in top files file when +disable_ext is on; +disable_ext+all disables all extension checking

  • DVT-2865 Enhance problem management performance on full build

Bugfixes

  • DVT-2855 $recovery should accept notifier, timestamp_condition, timecheck_condition, delayed_reference, delayed_data optional arguments

  • DVT-2859 Using defines for formatted numbers triggers false error (e.g. 4'd`CM_MAX_NUM_INV_PORTS)

3.0.6.4 (10 January 2012)

Enhancements

  • DVT-2812 Added support for mixture of `" and " in the macro text

Bugfixes

  • DVT-1709 Project Statistics freezes the GUI and cancel doesn't work

  • DVT-2809 Add to top files should make sure there's a newline before the appended filename

  • DVT-2810 Parse error on assert property (prop_name(alfa, (posedge(beta))));

  • DVT-2813 Wrong report of undeclared macro in macro strings (e.g. `"`UNDECLARED`")

  • DVT-2844 Too many open files exception when loading 1000s of empty files

3.0.6.3 (27 December 2011)

Enhancements

  • DVT-2352 Added $strobe, $monitor, $fopen, $fdisplay, $fstrobe $fmonitor and $fwrite in SV auto complete

  • DVT-2757 Added support for -file in .vlog_top_files

  • DVT-2802 Chance loading message for library files in console (e.g "scanning/loading library file")

  • DVT-2806 Improved recovery when missing macro definitions

Bugfixes

  • DVT-2421 References for system tasks do not work

  • DVT-2399 Do not show DVT_PATCH in macros view

  • DVT-2472 Autocomplete for '.triggered' doesn't work when sequence instance has arguments

  • DVT-2473 Autocomplete and hover for sampled value functions ($rose, $past, etc.) doesn't work

  • DVT-2475 Autocomplete for $display doesn't work inside initial statement

  • DVT-2485 Autocomplete and hover for random number system functions and methods doesn't work

  • DVT-2486 Autocomplete should not add () to sequence methods if they have no arguments

  • DVT-2559 No hyperlink and hover on cast expression

  • DVT-2680 Autocomplete inside xxx.randomize() with {...} block doesn't take into account the xxx scope

  • DVT-2697 UVM53 - accept calls for uvm_config_db#(uvm_object_wrapper)::set() instead of set_config_*

  • DVT-2776 Autolinked resources are no longer removed if autolink flag is unset

  • DVT-2783 Too many potential matches in a design, hyperlinks not working (usually ports on component instantiation)

  • DVT-2794 Deadlock when refreshing during a refactoring operation

  • DVT-2797 Right-click > Add to top files breaks lines on colon ':' character

  • DVT-2798 NullPointerException when warnings reported outside project

  • DVT-2800 Defines from -v compiled file are not seen by the -v compiled files that follows

3.0.6.2 (19 December 2011)

Enhancements

  • DVT-2778 Added a black DVT Theme

  • DVT-2793 DVT_PREDEFINED_PROJECTS_TARGET environment variable for predefined projects

Bugfixes

  • DVT-2777 Fixed DVT Theme selection dialog filter

3.0.6.1 (12 December 2011)

Enhancements

  • DVT-2727 Search for references takes too much time on big environments (e.g. 20s for 5k files)

  • DVT-2740 Prepacked distro performance increased due to "-Xverify:none" vmarg

  • DVT-2741 "Show heap status" activated by default in prepacked distros

  • DVT-2749 Adjust the error/warning message prefix to reflect the +dvt_keyword_set setting

  • DVT-2769 Parser performance issues when files containing preprocessing (`define, `ifdef) are included multiple times over big environments (over 5K files)

Bugfixes

  • DVT-2702 DVT CLI ListCompiledFiles should skip library topfiles

  • DVT-2720 Issues on collecting the comments in SV modules, programs and interfaces

  • DVT-2735 Autocomplete doesn't always work when extending parameterized classes

  • DVT-2745 OVM/UVM field editor does not open

  • DVT-2747 Files not included in top files are compiled as Verilog (default must be SystemVerilog)

  • DVT-2762 External builder exception - Variable references empty selection

  • DVT-2763 Exception: Attempted to beginRule that does not match outer scope rule

  • DVT-2768 Formatter inserts multiple newlines on Windows

3.0.6 (1 December 2011)

Features

  • DVT-642 Add .vlog_top_files support for multiple "instructions" on a single line like -y <path> +libext+.v +libext+.sv

  • DVT-1179 Support for wildcards *.v, *.sv etc. in top files

Enhancements

  • DVT-517 Vertical alignment code formatting option

  • DVT-1248 Autoformat for preprocessing (ifdef, else, define etc)

  • DVT-1344 Code Formating - Preserve spacing on signal declarations, assignments etc.

  • DVT-1350 Do not allow tabs in the editor (copy/paste, using templates etc.)

  • DVT-1640 Format keyword "begin" on a new line or not

  • DVT-2179 Perform out of sync check before search and ask for refresh

  • DVT-2311 Preferences for autoinstance format (port connections and parameters alignment)

  • DVT-2330 Hyperlinks for progress log in Internal Builder Console

  • DVT-2335 Filter for split ncsim messages in external tools console

  • DVT-2338 A drop-down list to select from top modules in the Instance Tree View

  • DVT-2391 Format - Option to preserve comment position if starting at index 0

  • DVT-2400 Formatting of parameters : leave as is, each parameter on a new line, all parameters inline

  • DVT-2401 Formatting preference for line wrap

  • DVT-2402 Formatting preference for indenting preprocessing lines

  • DVT-2418 Parser performance improvement (memory footprint & speed)

  • DVT-2412 Full build console - show start/end of the compiled file

  • DVT-2449 New custom dialog - drop-down with files from a directory

  • DVT-2488 HtmlDoc - Automatically detect and apply JavaDoc/NaturalDocs formatting

  • DVT-2494 Prompt to save dirty files when launching the GUI linter

  • DVT-2496 Updating error/compiled/ignore properties on resources takes a long time

  • DVT-2500 Extended help for external builder logs (for IUS filters)

  • DVT-2532 Overwrite MALLOC_CHECK_=1 env variable to avoid crashes

  • DVT-2549 Exclude resources using DVT CLI at project creation

  • DVT-2563 Report reapply waivers time in DVT Build Console

  • DVT-2565 Optimize algorithm for solving workspace resources

  • DVT-2582 Expand/collapse all in Compile Order View

  • DVT-2605 Add +dvt_keyword_set+ switch in .vlog_top_files

  • DVT-2617 Support custom eclipse.ini in dvt_cli.sh

  • DVT-2644 Edit DVT Waivers button in Problems View (easy way to start a waivers file)

  • DVT-2647 Library search when using -y and -v improvements

  • DVT-2648 Add support for +librescan / -librescan flags in .vlog_top_files

  • DVT-2684 Changing the location of a file outside project requires 2 builds to correctly auto-link the file

Bugfixes

  • DVT-47 Format source fails after third 'assert'

  • DVT-50 Format source → indentation issue

  • DVT-1093 Format source issue when formatting regions

  • DVT-1723 Format source indentation issue after `uvm_ (format, autoindent)

  • DVT-2307 Console hyperlinks get opened with wrong editor

  • DVT-2370 False DUPLICATE_COVERPOINT on incremental build

  • DVT-2404 Removed the project context menu -> Source -> Format option that breaks .project

  • DVT-2408 Verilog formatting fails on "covergroup with function sample"

  • DVT-2474 Filter library code problems for NON_STANDARD check in OVM/UVM Compliance

  • DVT-2501 Remove duplicate top files algorithm is non-linear (thousands of top files lock the platform)

  • DVT-2502 Tool bar section title for "What's new" & "Report an issue" buttons

  • DVT-2537 Exception thrown when canceling a DVT Custom Dialog started by an external builder

  • DVT-2570 EmptyStackException in scope management when using linked resources and symlinks

  • DVT-2580 Insert tabs for spaces does not work after format (still uses spaces)

  • DVT-2581 Internal builder syntax warning checkbox not taken into account

  • DVT-2592 Open associated type hyperlink - module recognized as field

  • DVT-2609 Verilog Formatter inserts spaces when "Insert tabs for spaces" is disabled

  • DVT-2620 Rename 'include/import tree' & 'load order' to 'compile order'

  • DVT-2630 Fixed parser library scan for -y, -v, +librescan / -librescan flags

  • DVT-2700 Missing scroll bar on predefined projects list

  • DVT-2704 Wrong errors/warnings reported inside inactive "`ifdef ... `endif" code blocks

3.0.5.5 (21 November 2011)

Bugfixes

  • DVT-2583 StackOverflowError in resolveOtherParams()

  • DVT-2585 Preprocessing identifier starts with numeral (e.g `define 1_TEST)

  • DVT-2593 Files with unknown extensions are not ignored in .vlog_top_files

  • DVT-2598 Cannot create new native thread (OutOfMemoryError) after full build

3.0.5.4 (8 November 2011)

Enhancements

  • DVT-2215 Option for dvt_cli.sh to import workspace settings

  • DVT-2372 Option for dvt_cli.sh not to exit when starting dvt.sh

  • DVT-2420 Autocomplete for a macro should automatically insert newline after the macro call only when the replacement also contains newline

  • DVT-2547 DVT CLI createProject - possibility to specify project name

  • DVT-2573 Ability to specify how long DVT CLI should wait for DVT to start (-timeout flag)

Bugfixes

  • DVT-2572 DVT Build timeout preference cannot be set by dvt.ini

3.0.5.3 (17 October 2011)

Enhancements

  • DVT-2454 Document how to expand aliases in run configurations and change default run command to interactive mode

  • DVT-2458 Save all dirty editors before launching a run configuration

Bugfixes

  • DVT-2441 DVT does not accept (* probe_point *) in module input/output declaration

  • DVT-2460 Could not infer project from selection when there is selection but no focus

  • DVT-2495 Replace all in a large file takes a very long time

  • DVT-2499 NullPointerException in DVTMarkerFactory

3.0.5.2 (23 September 2011)

Enhancements

  • DVT-2290 Rename "Generic" run configuration to "DVT Generic"

Bugfixes

  • DVT-2315 Windows - duplicate module error when opening a topfile specified with full path and lowercase device ID

  • DVT-2422 Long build occurs on repeated sequence_and_expression

  • DVT-2425 NullPointerException when warnings reported in files outside project

  • DVT-2427 Lexer error on `elseif without expression

3.0.5 (10 September 2011)

Features

  • DVT-1852 Rebuild button for internal builder only

  • DVT-1979 DVT color theme support for editors and GTK widgets and a predefined "Dark" theme

Enhancements

  • DVT-183 Open the OVM/UVM Field Editor on autocomplete in registration area

  • DVT-1182 System variables for External Builders

  • DVT-1966 Improved argument parsing for dvt_cli

  • DVT-2177 Hyperlink for jumping to the "associated type" of an element

  • DVT-2309 Enhance "Nothing to build" warning message (when rebuilding a project with no sources specified)

  • DVT-2314 Support for shortcuts for each rebuild kind (all/internal/external)

  • DVT-2336 Option to automatically save editors on rebuild

Bugfixes

  • DVT-2168 Wrong order in Layers View (ancestors should be first)

  • DVT-2308 Add from template does not work in Windows

  • DVT-2310 Build Console does not close when closing a Project

  • DVT-2341 Add to top files - relative path problem in Windows ("\" -> "/")

3.0.4.4 (9 September 2011)

Bugfixes

  • DVT-2366 Support for non-standard package scope used in foreach loop variables

  • DVT-2384 False DUPLICATE_VARIABLE error (wrong macro expansion)

  • DVT-2390 Parse error on sequence expression ##delay (CRS [ *1:$ ])

3.0.4.3 (29 August 2011)

Enhancements

  • DVT-2210 Duplicate semantic errors for enum literals

  • DVT-2337 Refactoring: option to preserve name collisions on rename

  • DVT-2343 Autoinstance: prepend u_ to instance name

  • DVT-2344 Autoinstance: The parameters name should be copied into the connector, instead of the default value

  • DVT-2345 Autoinstance: module parameters each have their own line

Bugfixes

  • DVT-2226 A macro defined in a file included several times should not be duplicated in autocomplete

  • DVT-2280 Support for non-standard 'cell' keyword used as identifier

  • DVT-2297 Search for references sometimes fails in symlinked files

  • DVT-2327 Module connection problem - false undeclared signal error when signal is declared inside a generate block

  • DVT-2342 Autoinstance doesn't work for computed params

3.0.4.2 (4 August 2011)

Bugfixes

  • DVT-2267 Text placed under an inactive preprocessing block should not trigger syntactic errors

3.0.4.1 (3 August 2011)

Bugfixes

  • DVT-2244 References/rename not working on symbolic link files that are linked resources in Eclipse

  • DVT-2256 Fixed problems related to readlink usage in Solaris scripts

  • DVT-2257 Changed "Xmx" memory settings to 1024m for 32bit and to 2048m for 64bit distros

3.0.4 (30 July 2011)

Deprecated

  • DVT-2239 Scripts: eclipse.sh, run_gui_mode.sh, run_batch_mode.sh are marked for deprecation; use instead: dvt.sh, dvt_sv_lint_batch.sh, dvt_sv_lint_gui.sh

Features

  • DVT-1134 Option to periodically save dirty editors

Enhancements

  • DVT-72 Refactoring scripts do not perform automatic checkout when using rev. control

  • DVT-81 Refactoring potential matches - quick filter/(un)check all

  • DVT-1823 The methodology (ovm_*, uvm_*, vmm_* etc) code templates available as file content code templates

  • DVT-1858 Linter right click on hit or check to waive

  • DVT-2012 Refactoring scripts are not performing changes inside DVT Auto-Linked folder

  • DVT-2048 Run Configurations dialog/Quick Run working set filtering options

  • DVT-2064 HtmlDoc misc enhancements

  • DVT-2068 Hierarchy view - quick search for members

  • DVT-2086 Template parameters are proposed in the order from template.config

  • DVT-2088 Ability to choose a project template from $PREDEFINED_PROJECTS when creating a new project from template

  • DVT-2105 Enable tool-tips when 'ALT' key is pressed

  • DVT-2107 Preconfigured HAL external builder

  • DVT-2152 Quick Filter code templates by name in preference pages

  • DVT-2178 When rename refactoring has potential matches - warn in the first page of the wizard

  • DVT-2207 HtmlDoc assertions in modules, interfaces and programs

  • DVT-2219 Multiple defines on a single line top files support (e.g '+define+DEF_1+DEF_2+DEF_3')

  • DVT-2159 Show workspace location in title bar

  • DVT-2225 Support for 'x_parameter_id_x' templates

  • DVT-2231 Add HINT predefined task tag

  • DVT-2235 Show builder errors in console with hyperlink

Bugfixes

  • DVT-2033 Macro expand and tooltip don't work after project restore

  • DVT-2047 Project delete and click macros view pops up a NullPointerException

  • DVT-2106 Hover shows wrong macro comment on the first define if macro is redefined

  • DVT-2118 DVT CLI failed to open files in the default text editor

  • DVT-2119 Adding multiple files to ignore list only adds the first file in the list

  • DVT-2128 Quick function hierarchy jumps to wrong location

  • DVT-2133 Inconsistency between the algorithm that reports the UNDECLARED_MODULE issue and the one that computes the files to be compiled using -y and +libext directives

  • DVT-2162 Help > DVT Quick Help broken links fixed

  • DVT-2170 A macro defined in a file included several times should not be duplicated in Macros View

  • DVT-2180 No tooltip on queue size() predefined method when queue's type is a type parameter

  • DVT-2205 Verilog Quick Import View filtering does not jump to first match

  • DVT-2209 Custom Dialog File/Directory choosers fail to open if no "question" is specified

3.0.3.3 (22 July 2011)

Enhancements

  • DVT-2081 dvt_cli.sh should also look for /bin/nc and /usr/bin/nc by default

  • DVT-2163 "table" used as an identifier, though it is reserved (accepted by some simulators)

Bugfixes

  • DVT-2181 Potential matches are not reported in search/refactoring

  • DVT-2185 A tooltip with long non-whitespace sequences (1000s of characters) freezes the GUI

3.0.3.2 (14 July 2011)

Bugfixes

  • DVT-2145 Avoid using resources in non-accessible state

  • DVT-2146 Close all editors when starting DVT with run_gui_mode.sh script to avoid having empty editors

  • DVT-2147 Accept non-standard construction: expression_or_dist between parentheses in constraint block

  • DVT-2155 Changed default initialization of preferences to avoid startup crashes in high load CPU scenario

  • DVT-2156 Fixed FlexLM Exception "ArrayIndexOutOfBoundsException" when using invalid license sources

3.0.3.1 (8 July 2011)

Bugfixes

  • DVT-2084 Automatically infer DVT_LICENSE_VERSION when DVT_LICENSE_FILE=FLEXLM

  • DVT-2088 Ability to chose a project template from $PREDEFINED_PROJECTS when creating a new project from template

  • DVT-2123 Multiline comment inside macro definition

  • DVT-2134 Fixed "Internal FlexLM Error" after waking up from idle

3.0.3 (1 July 2011)

Enhancements

  • DVT-1688 Switch for turning off tooltips

  • DVT-2067 Support for rawtokens lib (-y, -v, +libext+) included files

Bugfixes

  • DVT-2009 Defined value missing in `define usage tooltip

  • DVT-2034 Refactoring/references does not work with multiple macro define/undefs

  • DVT-2035 Accept non standard construct attribute (* const integer foreign = "SystemC"; *);

  • DVT-2041 All preprocessing directives should accept 0 or more white-spaces between '`' and text (e.g "` ifdef A {};")

  • DVT-2065 Error when using multiline comment after number format (e.g. 32'h/*ffff_ffff*/1324_5678)

  • DVT-2063 Flag integer packed arrays as non-standard

3.0.2.1 (27 June 2011)

Bugfixes

  • DVT-2046 References - wrong indexing on incremental build when `include in the middle of a file

3.0.2 (17 June 2011)

Enhancements

  • DVT-212 Added Eclipse Marketplace Client to distribution

  • DVT-254 Refactoring for functions and tasks should be performed in all superclasses/subclasses

  • DVT-1485 Refactoring for constraints should be performed in all superclasses/subclasses

  • DVT-1657 Quick Types & Import Views - independent of editor

  • DVT-1909 Semantic search/references performance improvement

  • DVT-1922 Predefined Project Wizard - notify on IOException when copying files

  • DVT-1946 "file content" template kind available on autocomplete

  • DVT-1959 External tools console links jump to resources in current working set

  • DVT-1998 Run Configurations should show quoted arguments when printing the executed command

  • DVT-2010 Predefined project wizard - option to override target directory

  • DVT-2021 Updated linux JRE in distribution to version 1.6.0u26 to avoid compiler crashes

  • DVT-2022 DVT Custom Dialogs - when checkboxOnValue or checkboxOffValue are specified, checkbox output should not contain the id

  • DVT-2023 Updated Clearcase plugin to the latest version in distribution

Bugfixes

  • DVT-1942 HtmlDoc misc bugfixes

  • DVT-1981 Questa 'Fatal' error filter added

  • DVT-1987 DVT fails to find modules/interfaces located in -v library files

  • DVT-1993 DVT CLI importProject takes project name from project folder instead of .project

  • DVT-2014 Capitalization of variables like __smallBigMedium__ when using template generation

3.0.1.1 (3 June 2011)

Enhancements

  • DVT-1403 .vlog_top_files : -y -v demote to warning

  • DVT-1573 Quick run documentation

  • DVT-1870 Custom dialogs documentation

Bugfixes

  • DVT-1811 Fixed editor macro expansion on expanding undeclared macros if selected together with defined macros

  • DVT-1696 Refactoring - 'Apply a refactoring script' typo

  • DVT-1874 Templates - Move these are global templates at the start of the dialog

  • DVT-1947 UVM/OVM field editor StackOverflowError

  • DVT-1948 Fixed parser error on parameter p = (0:1:2);

  • DVT-1954 Wrong "Number of macro instance parameters 1 not equal to macro define parameters 0" error reporting when parsing IUS xbus example

  • DVT-1956 Custom dialogs: SWT/XML Preview does not work for files outside of any project

3.0.1 (27 May 2011)

Enhancements

  • DVT-1290 Signal undeclared wires as errors when `default_nettype is none

  • DVT-1383 Added parser support for optional compiler directives: `default_decay_time, `default_trireg_strength, `delay_mode_distributed, `delay_mode_path, `delay_mode_unit, `delay_mode_zero

  • DVT-1762 DVT Custom Dialogs - configurable output format

  • DVT-1763 DVT Custom Dialogs - support for Combo and List widgets

  • DVT-1830 DVT Custom Dialogs - allow freeform IDs

  • DVT-1778 Add support for createSVProject to DVT CLI

  • DVT-1827 HtmlDoc - add import/export dpi/dpi-c tag to dpi functions and tasks

  • DVT-1828 HtmlDoc - strike-through font for deprecated methods

  • DVT-1886 HtmlDoc - new navbar category with references to external documentation (index.html)

  • DVT-1888 Macro expansion for redefined macro/defines

  • DVT-1923 Hyperlink for redefined macro/defines jumps to wrong (last) location instead of the most recent definition before the use

  • DVT-1895 HtmlDoc - optional references to external doc index.html on navbar

Bugfixes

  • DVT-1738 Ask for project rebuild after changing comment extraction preferences

  • DVT-1739 Add 0 (zero) to default values of "Skip # lines above" combo box in comment extraction preferences

  • DVT-1820 HtmlDoc - default values for function arguments

  • DVT-1825 Refactoring for clocking blocks is not permitted

  • DVT-1882 HtmlDoc - broken links in diagrams fixed

  • DVT-1915 context.xml file added to help system

3.0.0 (13 May 2011)

Features

  • DVT-1706 Add parser and views support for checker construct

Enhancements

  • DVT-1587 New quick help menu added (Help -> DVT Quick Help)

  • DVT-1829 Added assert/assume/cover/restrict property in Outline View

  • DVT-1841 Requesting using uvm_*_param_utils on parameterized classes in UVM2, UVM5, UVM10 linter checks and ovm_*_param_utils on parameterized classes in OVM2, OVM5, OVM10 linterchecks checks

  • DVT-1846 Generate list of loaded files (and their directories) from DVT using the CLI

  • DVT-1850 Expand `if[n]def arguments if they are defined as macros (non-standard construct)

  • DVT-1867 Option to set the path to Emacs executable

Bugfixes

  • DVT-1715 Remove lint configuration when the associated project is removed

  • DVT-1826 Refactoring allows renaming to same name if the text field is modified; the actual rename is done with garbage

  • DVT-1837 Override methods - add 'virtual' keyword for virtual functions

  • DVT-1840 Fixed parse error: clocking event in front of a property expression

  • DVT-1847 DVT viPlugin starts automatically with Eclipse activating DVT

  • DVT-1849 Erroneous macro expansion on macro call with macros as parameters

2.9.9.2 (6 May 2011)

Features

  • DVT-1756 Added OEM viPlugin in the prepacked distribution and update site

Enhancements

  • DVT-1618 & DVT-1735 Remove duplicate files from .vlog_top_file - made optional

  • DVT-1766 Added FlexLM IDLE Timeout

  • DVT-1810 Support non-standard construction - using select inside foreach index

Bugfixes

  • DVT-159 Rules with DISABLED status are skipped in linter

  • DVT-1815 +define+ in top files is broken if "remove duplicate top files" is enabled in internal builder preferences

2.9.9.1 (4 May 2011)

Enhancements

  • DVT-1655 HtmlDoc - Hide internal signals of an interface in the HTML documentation

  • DVT-1813 Add .inc as default verilog extension

Bugfixes

  • DVT-1806 HtmlDoc - Tasks overview broken links fixed

2.9.9 (30 April 2011)

Enhancements

  • DVT-112 Autocomplete: assign to a net is illegal in always blocks, do not show irrelevant signals

  • DVT-676 Semantic error on package import directly in a class scope

  • DVT-1433 New preference for auto-instance - preserve order of ports & comments

  • DVT-1440 External Tools - option to link to files from Console by short name

  • DVT-1571 New file wizard allows changing the templates parameters

  • DVT-1701 Expose -user and -configuration in eclipse.sh and other utilities

  • DVT-1737 User-defined primitive ports were added to Outline, Hyperlink, Content Assist

  • DVT-1729 Autoinstance for user-defined primitives added

  • DVT-1751 Add CSV editor to prepacked distribution

  • DVT-1779 Add support for openFile to DVT CLI

  • DVT-1793 HtmlDoc - Support for the JavaDoc standard tag @param (instead of @arg).

  • DVT-1794 HtmlDoc - Option added: generate documentation only for API under the project root

  • DVT-1795 HtmlDoc - Linking with external documentation sources

Bugfixes

  • DVT-159 Disable rule from waiver (not filtering the results)

  • DVT-1480 Fixed wrong parser error message when named port connection in module instance ends in a comma

  • DVT-1593 Fixed wrong references of a function declared without parentheses

  • DVT-1703 Correct code template: for a from b downto c step -1 => for a from b down to c

  • DVT-1750 Linter progress monitor shows different names

2.9.8 (15 April 2011)

Features

  • DVT-960 & DVT-1397 & DVT-1697 JavaDoc and NaturalDocs (HTML documentation)

  • DVT-1497 User-defined dialogs for Run Configurations

Enhancements

  • DVT-1455 Skip duplicate files in .topfiles (as physical path)

  • DVT-1543 Better progress reporting for Html documentation

  • DVT-1687 Add to Html documentation some user-defined links in navigation frame

  • DVT-1698 Added user-defined primitives to the internal dictionary, Types View, Outline, Content Assist, Instance Tree, Hyperlink and Layers view

  • DVT-1705 Added support for named generate blocks in hyperlink and content assist

Bugfixes

  • DVT-1653 Show the kind of entity in tooltips for classes, modules, programs, interfaces

  • DVT-1662 Removed "***INFO Parsing library ..." messages in eclipse started console

  • DVT-1663 Show/hide internal builder console, should not require a rebuild

  • DVT-1665 Internal builder console activated on incremental compilation

  • DVT-1671 Unable to use non-writable spelling dictionary

  • DVT-1722 Fixed parse error in more than two attribute instances

2.9.7.1 (1 April 2011)

Enhancements

  • DVT-1389 Show module references in HTML documentation

  • DVT-1477 Content assist port proposals when instantiating an interface

Bugfixes

  • DVT-1596 When function/task override by autocomplete cursor jumps to another part of the file

  • DVT-1602 Accept and signal non-standard construction static const in declaration outside classes

  • DVT-1605 Accept and signal non-standard placement of signing before data type in function declaration

  • DVT-1609 DVT_LICENSE_VERSION=1 not always shown in license not found pop-up

2.9.7 (25 March 2011)

Features

  • DVT-546 FlexLM support

  • DVT-1173 Quick access to run configurations (Quick Run)

  • DVT-1591 Option to show internal compilation log in console

Enhancements

  • DVT-1085 Linter license checking in GUI mode and option to wait (queue) for licence

  • DVT-1132 Internal builder options serialized in .dvt folder

  • DVT-1288 Class constraints in HTML Documentation

  • DVT-1351 Rebuild project using shortcut key (Ctrl + Alt + R)

  • DVT-1386 Interfaces and Modports in HTML Documentation

  • DVT-1435 OVM/UVM Field Editor - UVM_REFERENCE flag added

  • DVT-1439 OVM/UVM Field Editor - UVM_UNSIGNED by default for "bit", "reg", "logic", and other unsigned types

  • DVT-1498 Report an Issue - persistent user data and non-modal window

  • DVT-1508 Exclude API from HTML Documentation based on path filters

  • DVT-1520 Added "*.sva" extension to default SystemVerilog files extensions list

  • DVT-1535 Accept non-standard construction: identifier followed by bit-select or part-select without parentheses in delays

  • DVT-1568 Switch to the new license features

Bugfixes

  • DVT-1245 Non-standard semantic errors not shown in the linter

  • DVT-1366 UVM library in VCS does not work with the UVM preference page

  • DVT-1393 Strip off asterisks and start/end of comment (/****\ ... \****/)

  • DVT-1418 Dictionary not working with system variables

  • DVT-1425 Incremental performance degradation (memory) on big environments (~3000 types)

  • DVT-1456 Constructors can be referenced in the override menu

  • DVT-1496 DVT Auto-Linked should point to symlink instead of physical path when working with SOS database

  • DVT-1501 HTML Doc Generator only exports public class members

  • DVT-1503 Add from template - attribute name update in preview panel when duplicate file error

  • DVT-1505 Predefined Projects - IUS filters set by default

  • DVT-1512 Types Wiew Filter - Show UVM Types on last UVM version

  • DVT-1567 Content assist infinite loop if closing bracket has no corresponding open bracket e.g "list 0].^"

  • DVT-1580 Refactoring/references of _name triggers false possible matches for identifiers like some_other_name

2.9.6.9 (1 March 2011)

Features

Enhancements

  • Fixed UVM15b rule for UVM 1.0

  • Removed SQPT statistic from UVM 1.0 (not anymore relevant since `uvm_sequence_utils macros are deprecated)

Bugfixes

  • DVT-1426 UVM-INFO filter fixed

  • DVT-1488 Content Assist constructor override 'return super.new()' not alowed

2.9.6.8 (26 February 2011)

Features

  • DVT-560 Shortcut to select identifier at cursor (Shift + Alt + Up Arrow)

  • DVT-1073 Signal semantic warnings for non existing instantiated components

Enhancements

  • Adjusted UVM Compliance checking for UVM 1.0

  • DVT-1144 Added semantic checks for duplicate declarations of packages, modules, programs, interfaces, covergroups, events, module and interface instances, named blocks and typedefs

  • DVT-1280 Project Statistics optional full build before collecting information

  • DVT-1292 Show the module instance tree in the HTML Documentation

  • DVT-1391 Preference to control new lines before doc extraction

  • DVT-1393 Strip off asterisks and start of / end of comment

  • DVT-1395 Document structure fields in HTML Doc

  • DVT-1396 Document enum fields in HTML Doc

  • DVT-1476 Check for undeclared signals also in instances declared in interfaces

Bugfixes

  • DVT-1387 Modules and Interfaces should not be associated with package "none" in HTML Documentation

  • DVT-1390 Doc extraction for comments on the same line

  • DVT-1415 UVM11 compliance check fails on uvm_ref_flow_1.01ea

  • DVT-1422 Parse error on 'const A a = new;' used in classes

  • DVT-1431 Single "report an issue" instance

  • DVT-1467 Comments are extracted erroneously on enums

  • DVT-1481 Assert comments are not collected

  • DVT-1482 Hyperlink/tooltip/refactoring is wrong on assert having same name as a function

  • DVT-1484 Inline comment extraction doesn't work properly for function with arguments

2.9.6.7 (31 January 2011)

Features

Enhancements

Bugfixes

  • DVT-1378 UVM_INFO filter fixed

  • DVT-1379 IUS Stacktrace filter fixed

2.9.6.6 (27 January 2011)

Features

  • DVT-86 Override functions GUI

  • DVT-1166 Support for adding Template Components

Enhancements

  • DVT-150 Report lining alive during long-running checks

  • DVT-944 Jump between macro parameters using tab when macro autocomplete is invoked (similar with function arguments)

  • DVT-1136 Change file permission dialog for refactoring

  • DVT-1279 Implement/override - autocomplete contribution

  • DVT-1280 Project Statistics performs a full build before collecting information

  • DVT-1297 Pack license status application in DVT release

  • DVT-1377 Ability to cancel linting during long-running checks

  • DVT-1384 Preferences for customizing the color of TODO markers

  • DVT-1385 New File Wizard - More New File Types - based on templates

  • DVT-1401 Non-standard syntax: solve a before b hard

Bugfixes

  • DVT-67 Show only static functions/fields when calling members of a class using "::" operator

  • DVT-1183 Autocomplete for module ports should show ports only

  • DVT-1262 Fixed unrecognized Questa error message (external builders)

  • DVT-1271 Editor changes file permission on RO file to 600 instead of preserving the permission for group and other

  • DVT-1302 Autocomplete auto-instantiate feature gives the option to change the parameter name, not the parameter value.

  • DVT-1330 Keywords should not appear in autocomplete after "." or "::"

  • DVT-1332 Calling macro with optional parameters issues error (not using the defaults)

  • DVT-1337 IUS filter set fixed

  • DVT-1349 Context completion doesn't work for enum encoding in a tertiary statement (conditional operator)

  • DVT-1353 Show autocomplete after macro name (e.g `some_define.some_item)

  • DVT-1356 Classes in generate blocks show type redef errors even when they are not in the same generated branch

  • DVT-1357 Module autoinstance fixed not to include parameters declared with localparam

  • DVT-1360 Refactoring scripts: specifying an element with package::type.element is broken

  • DVT-1361 Types defined under generate blocks not shown in types view

  • DVT-1374 Fixed wrong CIRCULAR_INHERITANCE error when the extended class has the same name but is in another package

  • DVT-1375 DVT Predefined projects: files should be made writable after copying in user location

  • DVT-1381 Symlinks in topfiles break error markers and open file from include tree

  • DVT-1402 "Unexpected token ," (comma) when using bit selection for ports in module declaration

  • DVT-1404 NC-Verilog_Builder pre-defined external builder filters not selected by default

2.9.6.5 (7 January 2011)

Features

  • DVT-1128 Format code using external script

Enhancements

  • DVT-73 Link from project specific Code Templates to configure global Code Templates

  • DVT-947 Label matching for 'ifdef A .... `endif // ifdef A' warning

  • DVT-1167 Diagrams: select/deselect type from popup menu (right click)

  • DVT-1178 Rename filter set: Nc-Verilog to IUS

  • DVT-1273 Show non-existent paths in +incdir+ as warnings instead of errors

  • DVT-1278 Report an Issue: hardware info and summary field added

  • DVT-1306 Added predefined filter in Problems View for Linting Problems

  • DVT-1308 Disk space sanity check (min 10 MB of free space in user's Home folder)

Bugfixes

  • DVT-1232 HTML documentation generator: don't show predefined members

  • DVT-1285 Diagrams: don't show predefined members

2.9.6.4 (18 December 2010)

Features

Enhancements

  • DVT-71 Collect project statistics should allow selecting collected statistics

  • DVT-1244 Specify where the duplicate was found when reporting redeclarations

  • DVT-1256 Support for non-standard 'checker' and 'restrict' keywords used as identifiers

  • DVT-1257 Concatenation and multiple concatenations performance issue

  • DVT-1260 Support for non-standard attribute instance (* integer foreign = "SystemC"; *);

  • DVT-1276 Collect project statistics should include hardware and system information

  • DVT-1246 New sv Project - faster realtime directory search

Bugfixes

  • DVT-329 GUI linter debug mode (performance dump) not working

  • DVT-758 Search for references by method argument leads to hits in all methods with the same argument name

  • DVT-1135 Using 2 different revision of the same project in a workspace collision

  • DVT-1216 Fixed Solaris SPARC network filesystem bug by migrating to Eclipse Platform 3.6.1

  • DVT-1259 Symlink in INCDIR causes duplicate errors when opening an imported file

  • DVT-1266 Parsing error in primitive declaration

  • DVT-1230 Questa External Builder - 'missing vlib' error on full build command

2.9.6.3 (28 November 2010)

Enhancements

  • DVT-1203 Added refresh tab to Generic Launch Configuration

  • DVT-1204 Added selected_resources_loc, selected_resources_path, selected_resources_name variables for run configurations

  • DVT-1146 Linter performance enhancements

  • DVT-1212 Rebuild lazy removes auto-linked files only if they are no longer needed

Bugfixes

  • DVT-1177 Files auto-linked although in workspace when INCDIR is a symbolic link

  • DVT-1185 Disable auto-build timeout when build is triggered by the linter

  • DVT-1192 Builder triggers duplicate errors for fields, classes, etc. when SOS database element is checked out/in

  • DVT-1201 Added `suppress_faults, `enable_portfaults, `disable_portfaults, `nosuppress_faults predefined macros

  • DVT-1209 Internal dictionary corrupted after importing a previously deleted project

2.9.6.2 (23 November 2010)

Enhancements

  • DVT-1193 Parser performance enhancements

Bugfixes

  • DVT-1200 Fixed wrong reporting of UNDECLARED_SIGNAL

2.9.6.0 (17 November 2010)

Bugfixes

  • DVT-1091 Added support for pure/extern constraint syntax

  • DVT-1112 Fixed wrong reporting of DUPLICATE_VARIABLE

  • DVT-1124 Layers view jump back to the selected element when scrolling down

  • DVT-1141 Jump to pair backet in editor no longer working

2.9.5.9 (12 November 2010)

Enhancements

  • DVT-132, DVT-241 Misc. Q&A updates

  • DVT-1041 No index.hml when exporting the HTML Lint Report

Bugfixes

  • DVT-600, DVT-4 Fix annotation names in Annotations Preference Page

2.9.5.8 (5 November 2010)

Features

  • DVT-974 Warning message if exclude directives are missing from eclipse.ini

Enhancements

  • DVT-174 Added .flt extension to default Content Types (command file)

  • DVT-529 Refactor rename to something that already exists should not be allowed

  • DVT-589 Notify user on build timeout

  • DVT-614 Support for -F in .vlog_top_files with simlator specific compatibility modes.

  • DVT-961 Support for System Variables in New Project Wizard

  • DVT-1052 Added .comp extension to default Content Types (command file)

  • DVT-1054 Restart eclipse is needed after adding a new extension to Content Types

Bugfixes

  • DVT-126 DVT checks out license at plugin activation instead of first feature used

  • DVT-932 Print executed command for launch configuration and external builder: printing is sometimes executed after run start

  • DVT-968 Doc generator wizard has a wrong button label (Browse Workspace instead of Browse Filesystem)

  • DVT-1018 No editor functionality available when opening a file with different (than standard) extension

  • DVT-1046 Bogus connections in inheritance diagrams

  • DVT-1047 References for methods don't have hits in all class hierarchy for classes situated in different scopes

  • DVT-1048 Comments are collected incorrectly on full build

2.9.5.7 (29 October 2010)

Features

Enhancements

  • DVT-133 When adding Verilog nature, .dvt and .vlog_top_files are created with default content if they don't exist

  • DVT-294 When project has no top files, you can open '.vlog_top_files' for editing right from the warning dialog

  • DVT-765 New project wizard - mention how Top Files and INCDIR can be configured after the wizard is completed

  • DVT-766 Update documentation for OVM to UVM Migration

  • DVT-942 Show line numbers in editor by default

Bugfixes

  • DVT-735 Internal builder semantic warning disable option not working

  • DVT-935 Multiline comment doesn't appear in generated documentation or tooltip

  • DVT-923 Solaris SPARC GTK crash in Navigator

  • DVT-993 External tools - console hyperlinks don't jump in source files with unknown contenttype

  • DVT-1014 Parser slowness related to casting type support for constant primary (see DVT-914)

  • DVT-1017 Autocomplete should not suggest anything after a number (or after a number followed by dot)

  • DVT-1022 Wrong UNDECLARED_SIGNAL in module instantiation when signal is declared inside a generate block or is returned by a function and when wildcard named port connections ( .*) is used

2.9.5.6 (26 October 2010)

Bugfixes

  • DVT-914 Cast should accept constant expression as casting type

  • DVT-924 Recognize inner classes defined in a parent class

  • DVT-937 Wrong syntactic error reprort for case... default:/*comment*/

  • DVT-938 Wrong non-exsiting class notification for nested classes

  • DVT-939 Accept multiple coverpoints on different array elements

2.9.5.5 (20 October 2010)

Enhancements

  • Misc Documentation Updates

Bugfixes

  • DVT-178 Project statistics filters

  • DVT-643 Ctrl+PgUp/Ctrl+PgDn navigation between editors gets stuck at the diagram editor

  • DVT-762 Wrong parser error on :/*

  • DVT-763 Module parameters without parens

2.9.5.4 (11 October 2010)

Enhancements

  • Linter:

    • OVM/UVM Rule PKLD

    • Rule CHEX - check only concurrent assertions and excpects

  • DVT-11 Print executed command for launch configuration and external builders

Bugfixes

  • Linter misc. bug fixes

  • DVT-13 External builder console content not shown after changing the build command

  • DVT-727 External tools NC-Verilog filter patterns do not support numbers in error ID

  • DVT-738 Signal an error if inside expression doesn't have {}, or has a range without []

  • DVT-739 Signal an error if unsized dimension [] is used as a packed dimension outside a DPI import

2.9.5.3 (4 October 2010)

Enhancements

  • DVT distribution release includes "DVT SV Language User Guide" PDF

  • Linter:

    • Added ruleset kind specific icons in Linter GUI

    • Added Export to HTML support for different rulesets (subtitle, browser icons, descripton)

Bugfixes

  • DVT-672 Search for macro declaration without including layers returns no results

  • DVT-685 UVM Compliance category "UVM-SV Specific" typo

2.9.5.2 (1 October 2010)

Features

  • Generic Linter Framework

  • UVM Compliance Checking

Enhancements

  • Linter:

    • Added support for simple regular expressions in waivers message pattern

    • Added pragma waivers (code waivers)

    • OVM/UVM Rule PKVD

    • OVM/UVM Rule PKFN

    • OVM/UVM Rule PKVR

    • OVM/UVM Rule DCDC

    • OVM/UVM Rule DCRN

Bugfixes

  • DVT-640 External library (-y) search, sort candidates using +libext+ order

  • DVT-641 Search for the unresolved references of the modules compiled from library

  • DVT-652 Folding issue for export "DPI"

  • DVT-659 Console hyperlink detection using working directory

  • DVT-664 Support for `begin_keywords "1800-2005"

2.9.5.1 (27 September 2010)

Enhancements

  • Added semantic checks for duplicate declarations of classes, functions, tasks, fields, parameters, function arguments, covergroup arguments, coverpoints, covercrosses, struct and union members

  • Added additional assertion templates

  • OVM Compliance Standard Checks

    • Rule OVM2 - exclude macros check in virtual classes

    • Rule OVM5 - exclude macros check in virtual sequences

    • Rule OVM6 - exclude the body() method check in virtual sequences

    • Rule OVM8 - exclude the super.pre_body() and super.post_body() calls check in virtual sequences

Bugfixes

  • DVT-656 Autocomplete issue when parameterized classes follow

  • DVT-678 Accept `ifdef, `else, `endif on the same line

  • DVT-679 Accept the third parameter of $width() as optional

  • Accept timeunit and timeprecision in program construct

  • Fix wrong warning signaling when a parameter is used for module instance port binding

  • Fix for unresolved components references in library

  • Fix for symbolic link files pointing to SOS database

2.9.4 (14 September 2010)

Features

  • What's new in DVT? button for quick online access to this page

  • Auto-linked resources

  • Rename refactoring

  • Refactoring scripts

  • Added run_dvt_from_rawtokens.sh script for creating and opening a DVT project from raw tokens file

  • OVM Compliance reports hits in the Problems View

  • Project statistics

Enhancements

  • OVM Compliance also reports non-standard SV constructs

  • VMM project property page supports vmm.sv directly under $VMM_HOME

  • Report semantic error if a function is implemented outside a class but not declared as external

  • OVM2UVM migration - support for UVM-1.0ea kit

  • Project-level code templates

  • eclipse.sh script can import settings from another workspace

  • eclipse.sh script can import an existing DVT project

  • License server releases license when client disconnects

  • Hierarchy and Instance Tree views show instructions when content is empty

  • Multiple selection support for 'Add to top files' in navigator right-click menu

  • Generic launch configurations can infer Project & Working directory from navigator selection

  • Sharable settings for HTML documentation wizard

  • Automatic instantiation of modules sorts ports as in/out/inout

  • Misc parser enhancements

  • Misc performance enhancements

Bugfixes

  • OVM Compliance Waivers GUI is now working properly in KDE

  • External builders not called when invoking OVM Compliance

  • .vlog_top_files supports +define+ without value specified

  • .vlog_top_files does not take into account anymore the order of +defines

  • Semantic search reports method references in all object-oriented hierarchy

  • HTML documentation wizard displays multi line comments

2.9.3 (25 May 2010)

2.9.2 (7 May 2010)

2.8.9 (19 February 2010)

2.8.6 (22 December 2009)

  • Highlight for Inactive Preprocessing.

  • Semantic Warning for Undeclared Ports (modules mapping).

2.8.5 (4 December 2009)

  • Inline Expand/Collapse Macros.

  • Hot fix for Instance Tree View (infinite loop).

2.8.4 (3 December 2009)

  • Macros View.

  • OVM and VMM project preference pages.

  • Performance improvements.

  • Misc bug fixes.

2.8.3 (11 November 2009)

  • OVM Compliance Review enhancements.

2.8.2 (10 November 2009)

  • OVM Compliance Review automate some of the manual checks.

  • Misc bug fixes (support for OVM 2.0.3).

2.8.0 (29 October 2009)

  • Misc bug fixes.

2.7.0 (23 September 2009)

  • OVM Compliance Review checks list updated according with the OVM Word published list.

  • Misc bug fixes.

2.6.0 (24 July 2009)

New features:

  • Class Diagrams. See Class Diagrams.

  • HTML Documentation diagram support.

  • Smart Log. See Smart Log.

  • VMM Smart Log. See VMM Smart Log.

  • Semantic checks for types.

  • Label mismatch warning for ifdef/ifndef ... endif directives. See Tips and Tricks.

  • Added history and revision control compare editors highlight.

  • Added customizable template for new "Verilog File" content.

  • Support to add -f files to top files on right click Add to Top Files.

2.5.0 (16 May 2009)

2.4.0 (30 March 2009)

New features:

  • Highlight matching begin - end, function - endfunction etc. on double click.

  • Console hyperlinks by filters in Generic Launch Configuration. See Run Configurations.

  • Support for +incdir+<path> , -incdir <path> , +define+<DEFINE> , -define <DEFINE>, -v <path>, -y <path>, +libext+<suffix> in Top Files.

  • Support for defines at the project level. Useful when invoking 3rd party (Project Properties -> Verilog Language -> DEFINE).

  • Fixed '//' comment handling in macro invocation parameters.

2.3.0 (27 January 2009)

  • To specify Top Files, INCDIR etc. you can also directly edit the corresponding files <project_dir>.dvt/.vlog_top_files, <project_dir>.dvt/.vlog_inc_dir etc. and use:

    • -f <file_name>

    • system variables

    • absolute paths

    • comments (//,--,#)

  • You can browse and search 3rd party documentation directly from Eclipse. See External Documentation.

  • You can build a project using 3rd party compilers. See External Builders.

  • You can create and use project templates. See Project Templates.

  • Hyperlink enhancements (F3 works on imports, preference to jump to first implemented layer).

  • Semantic search enhancements (show the match line, cover groups, layers handling).

  • Added filter to Types View.

  • Added VlogDT Builder preferences.

  • Added context sensitive help support. See Tips and Tricks.

  • SystemVerilog parser and dictionary enhancements.

  • Misc bug fixes and performance improvements (linked resources in top files and hyperlinks, context detection).

2.0.0 (1 September 2008)

  • SystemVerilog parser and dictionary enhancements (class templates, parameters, ports etc.).

  • Misc bug fixes and performance improvements (refresh, building).

1.5.0 (18 May 2008)

  • Misc bug fixes and performance improvements.

1.4.0 (12 March 2008)

  • First version.