DVT SystemVerilog IDE User Guide
Rev. 24.1.5, 13 March 2024

40.17.22 Verification Hierarchy View

The Verification Hierarchy View presents the UVM object instance tree. An UVM object instance is a class member of an UVM based type that is created using an UVM factory create call.

To populate the view, go to the UVM menu and Select Verification Top or use the view toolbar button.

For the selected UVM test, you have the option to view a statically elaborated hierarchy or perform a runtime elaboration in order to view testbench structures accurately reflecting the configuration at start of simulation.

  • Select Verification Top select a UVM test.

  • History List Click to see the previously inspected components.

  • Show/Hide Ports Shows or hides the UVM ports of the selected component. You can set the ports panel to the right or below the hierarchy.

  • Show only UVM Components Show only UVM component instances in the hierarchy. By default it is on which means that the UVM object instances instantiated using create are not shown.

  • Prepend Package Name Prepend the enclosing package name to the name of each class, useful when you explore for example all agents across packages.

  • Alphabetical Sort Sort instances and ports alphabetically. By default it is off which means that the instances and the ports are presented in their declaration order from the source files.

The view label shows the current project, the current top component and the number of instances in the hierarchy.


You can double-click on any component to go to its UVM factory create call.

Right-click on an instance in the hierarchy and you have the following options :

  • Show Usages (References) Search for the references of the selected instance. More details here

  • Show Layers Show the layers of the selected instance. More details here.

  • Show Type Hierarchy Show the type hierarchy for the selected instance type. More details here.

  • Show Verification Hierarchy Set the selected instance as the top of the hierarchy and update the view.

  • Show Diagram Generate the UVM Component Diagram of the selected instance. More details here.

  • Copy Copy the label text of the selected instance to clipboard.

  • Copy Hierarchy Path Copy the hierarchy path of the selected instance to clipboard. You can change the segment separator from Window > Preferences > DVT.

  • Open Type Declaration Go to the component declaration of the selected instance.

You can double-click on any port to go to its declaration.

Right-click on a port and you have the options to search for its usages, its layers and for its type hierarchy, also you can copy its name and the full hierarchy path of the selected port.


You can use the filters to locate a specific instance or port. You may use slash '/' characters to filter hierarchically one level and '//' to filter hierarchically all the levels. You may use the dot '.' character to filter instances that contain a specific port. See Quick Search for more details.

For example, filtering hierarchically all the levels for a specific port name :