[source]

Class uvm_agent

uvm_pkg::uvm_agent + is_active : uvm_active_passive_enum + type_name : string + build_phase(): void + get_is_active(): uvm_active_passive_enum + get_type_name(): string

Inheritance Diagram of uvm_agent

The uvm_agent virtual class should be used as the base class for the user- defined agents. Deriving from uvm_agent will allow you to distinguish agents from other component types also using its inheritance. Such agents will automatically inherit features that may be added to uvm_agent in the future.

While an agent's build function, inherited from uvm_component, can be implemented to define any agent topology, an agent typically contains three subcomponents: a driver, sequencer, and monitor. If the agent is active, subtypes should contain all three subcomponents. If the agent is passive, subtypes should contain only the monitor.

Variables

Name

Type

Description

is_active

uvm_active_passive_enum

type_name

string

Constructors

function new ( string name, uvm_component parent ) [source]

Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.

The int configuration parameter is_active is used to identify whether this agent should be acting in active or passive mode. This parameter can be set by doing:

uvm_config_int::set(this, "<relative_path_to_agent>, "is_active", UVM_ACTIVE);

Functions

virtual function void build_phase ( uvm_phase phase ) [source]

virtual function string get_type_name ( ) [source]

virtual function uvm_active_passive_enum get_is_active ( ) [source]

Returns UVM_ACTIVE is the agent is acting as an active agent and UVM_PASSIVE if it is acting as a passive agent. The default implementation is to just return the is_active flag, but the component developer may override this behavior if a more complex algorithm is needed to determine the active/passive nature of the agent.