[source]

Class uvm_topdown_phase

uvm_pkg::uvm_topdown_phase + execute(): void + traverse(): void uvm_pkg::uvm_build_phase uvm_pkg::uvm_final_phase

Inheritance Diagram of uvm_topdown_phase

Virtual base class for function phases that operate top-down. The pure virtual function execute() is called for each component.

A top-down function phase completes when the execute() method has been called and returned on all applicable components in the hierarchy.

Constructors

function new ( string name ) [source]

Create a new instance of a top-down phase

Functions

virtual function void traverse ( uvm_component comp, uvm_phase phase, uvm_phase_state state ) [source]

Traverses the component tree in top-down order, calling execute for each component.

virtual function void execute ( uvm_component comp, uvm_phase phase ) [source]

Executes the top-down phase phase for the component comp .