[source]

Class uvm_reg_hw_reset_seq

uvm_pkg::uvm_reg_hw_reset_seq + type_name : string + __m_uvm_field_automation(): void + body() + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string + reset_blk()

Inheritance Diagram of uvm_reg_hw_reset_seq

Test the hard reset values of registers

The test sequence performs the following steps

  1. resets the DUT and the block abstraction class associated with this sequence.

  2. reads all of the registers in the block, via all of the available address maps, comparing the value read with the expected reset value.

If bit-type resource named "NO_REG_TESTS" or "NO_REG_HW_RESET_TEST" in the "REG::" namespace matches the full name of the block or register, the block or register is not tested.

uvm_resource_db#(bit)::set({"REG::",regmodel.blk.get_full_name(),".*"},
                           "NO_REG_TESTS", 1, this);

This is usually the first test executed on any DUT.

Constructors

function new ( string name ) [source]

Tasks

virtual function body ( ) [source]

Executes the Hardware Reset sequence. Do not call directly. Use seq.start() instead.

virtual function reset_blk ( uvm_reg_block blk ) [source]

Reset the DUT that corresponds to the specified block abstraction class.

Currently empty. Will rollback the environment's phase to the reset phase once the new phasing is available.

In the meantime, the DUT should be reset before executing this test sequence or this method should be implemented in an extension to reset the DUT.