[source]

Class uvm_random_sequence

uvm_pkg::uvm_random_sequence + body() + create(): uvm_object + do_compare(): bit + do_copy(): void + do_print(): void + do_record(): void + get_count(): int unsigned + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string

Inheritance Diagram of uvm_random_sequence

CLASS- uvm_random_sequence

This sequence randomly selects and executes a sequence from the sequencer's sequence library, excluding uvm_random_sequence itself, and uvm_exhaustive_sequence.

The uvm_random_sequence class is a built-in sequence that is preloaded into every sequencer's sequence library with the name "uvm_random_sequence".

The number of selections and executions is determined by the count property of the sequencer (or virtual sequencer) on which uvm_random_sequence is operating. See uvm_sequencer_base for more information.

Constructors

function new ( string name ) [source]

new

Functions

function int unsigned get_count ( ) [source]

Function- get_count

Returns the count of the number of sub-sequences which are randomly generated. By default, count is equal to the value from the sequencer's count variable. However, if the sequencer's count variable is -1, then a random value between 0 and sequencer.max_random_count (exclusive) is chosen. The sequencer's count variable is subsequently reset to the random value that was used. If get_count() is call before the sequence has started, the return value will be sequencer.count, which may be -1.

virtual function void do_copy ( uvm_object rhs ) [source]

Implement data functions

virtual function bit do_compare ( uvm_object rhs, uvm_comparer comparer ) [source]

virtual function void do_print ( uvm_printer printer ) [source]

virtual function void do_record ( uvm_recorder recorder ) [source]

virtual function uvm_object create ( string name ) [source]

virtual function string get_type_name ( ) [source]

Tasks

virtual function body ( ) [source]

body