[source]

Class uvm_report_message

uvm_pkg::uvm_report_message + type_name : string + __m_uvm_field_automation(): void + add_int(): void + add_object(): void + add_string(): void + create(): uvm_object + do_copy(): void + do_print(): void + do_record(): void + get_action(): uvm_action + get_context(): string + get_element_container(): uvm_report_message_element_container + get_file(): UVM_FILE + get_filename(): string + get_id(): string + get_line(): int + get_message(): string + get_object_type(): uvm_object_wrapper + get_report_handler(): uvm_report_handler + get_report_object(): uvm_report_object + get_report_server(): uvm_report_server + get_severity(): uvm_severity + get_type(): type_id + get_type_name(): string + get_verbosity(): int + m_record_core_properties(): void + m_record_message(): void + new_report_message(): uvm_report_message + set_action(): void + set_context(): void + set_file(): void + set_filename(): void + set_id(): void + set_line(): void + set_message(): void + set_report_handler(): void + set_report_message(): void + set_report_object(): void + set_report_server(): void + set_severity(): void + set_verbosity(): void

Inheritance Diagram of uvm_report_message

The uvm_report_message is the basic UVM object message class. It provides the fields that are common to all messages. It also has a message element container and provides the APIs necessary to add integral types, strings and uvm_objects to the container. The report message object can be initialized with the common fields, and passes through the whole reporting system (i.e. report object, report handler, report server, report catcher, etc) as an object. The additional elements can be added/deleted to/from the message object anywhere in the reporting system, and can be printed or recorded along with the common fields.

Constructors

function new ( string name ) [source]

Creates a new uvm_report_message object.

Functions

static function uvm_report_message new_report_message ( string name ) [source]

Creates a new uvm_report_message object. This function is the same as new(), but keeps the random stability.

virtual function void do_print ( uvm_printer printer ) [source]

virtual function void do_copy ( uvm_object rhs ) [source]

Not documented.

virtual function uvm_report_object get_report_object ( ) [source]

virtual function void set_report_object ( uvm_report_object ro ) [source]

Get or set the uvm_report_object that originated the message.

virtual function uvm_report_handler get_report_handler ( ) [source]

virtual function void set_report_handler ( uvm_report_handler rh ) [source]

Get or set the uvm_report_handler that is responsible for checking whether the message is enabled, should be upgraded/downgraded, etc.

virtual function uvm_report_server get_report_server ( ) [source]

virtual function void set_report_server ( uvm_report_server rs ) [source]

Get or set the uvm_report_server that is responsible for servicing the message's actions.

virtual function uvm_severity get_severity ( ) [source]

virtual function void set_severity ( uvm_severity sev ) [source]

Get or set the severity (UVM_INFO, UVM_WARNING, UVM_ERROR or UVM_FATAL) of the message. The value of this field is determined via the API used (uvm_info(), uvm_waring(), etc.) and populated for the user.

virtual function string get_id ( ) [source]

virtual function void set_id ( string id ) [source]

Get or set the id of the message. The value of this field is completely under user discretion. Users are recommended to follow a consistent convention. Settings in the uvm_report_handler allow various messaging controls based on this field. See uvm_report_handler.

virtual function string get_message ( ) [source]

virtual function void set_message ( string msg ) [source]

Get or set the user message content string.

virtual function int get_verbosity ( ) [source]

virtual function void set_verbosity ( int ver ) [source]

Get or set the message threshold value. This value is compared against settings in the uvm_report_handler to determine whether this message should be executed.

virtual function string get_filename ( ) [source]

virtual function void set_filename ( string fname ) [source]

Get or set the file from which the message originates. This value is automatically populated by the messaging macros.

virtual function int get_line ( ) [source]

virtual function void set_line ( int ln ) [source]

Get or set the line in the file from which the message originates. This value is automatically populate by the messaging macros.

virtual function string get_context ( ) [source]

virtual function void set_context ( string cn ) [source]

Get or set the optional user-supplied string that is meant to convey the context of the message. It can be useful in scopes that are not inherently UVM like modules, interfaces, etc.

virtual function uvm_action get_action ( ) [source]

virtual function void set_action ( uvm_action act ) [source]

Get or set the action(s) that the uvm_report_server should perform for this message. This field is populated by the uvm_report_handler during message execution flow.

virtual function UVM_FILE get_file ( ) [source]

virtual function void set_file ( UVM_FILE fl ) [source]

Get or set the file that the message is to be written to when the message's action is UVM_LOG. This field is populated by the uvm_report_handler during message execution flow.

virtual function uvm_report_message_element_container get_element_container ( ) [source]

Get the element_container of the message

virtual function void set_report_message ( uvm_severity severity, string id, string message, int verbosity, string filename, int line, string context_name ) [source]

Set all the common fields of the report message in one shot.

virtual function void do_record ( uvm_recorder recorder ) [source]

Not documented.

virtual function void add_int ( string name, uvm_bitstream_t value, int size, uvm_radix_enum radix, uvm_action action ) [source]

This method adds an integral type of the name name and value value to the message. The required size field indicates the size of value . The required radix field determines how to display and record the field. The optional print/record bit is to specify whether the element will be printed/recorded.

virtual function void add_string ( string name, string value, uvm_action action ) [source]

This method adds a string of the name name and value value to the message. The optional print/record bit is to specify whether the element will be printed/recorded.

virtual function void add_object ( string name, uvm_object obj, uvm_action action ) [source]

This method adds a uvm_object of the name name and reference obj to the message. The optional print/record bit is to specify whether the element will be printed/recorded.