[source]

Class uvm_derived_callbacks

uvm_pkg::uvm_derived_callbacks <T, ST, CB> + m_d_inst : this_type + m_s_typeid : uvm_typeid_base + m_super_inst : this_super_type + m_user_inst : this_user_type + get(): this_type + register_super_type(): bit

Inheritance Diagram of uvm_derived_callbacks

Class- uvm_derived_callbacks #(T,ST,CB)

This type is not really expected to be used directly by the user, instead they are expected to use the macro uvm_set_super_type. The sole purpose of this type is to allow for setting up of the derived_type/super_type mapping.

Parameters

Name

Default value

Description

T

uvm_object

ST

uvm_object

CB

uvm_callback

Typedefs

Name

Actual Type

Description

this_type

uvm_derived_callbacks#(T, ST, CB)

this_user_type

uvm_callbacks#(T)

this_super_type

uvm_callbacks#(ST)

Functions

static function this_type get ( ) [source]

static function bit register_super_type ( string tname, string sname ) [source]