[source]

Class uvm_simple_sequence

uvm_pkg::uvm_simple_sequence + body() + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string

Inheritance Diagram of uvm_simple_sequence

CLASS- uvm_simple_sequence

This sequence simply executes a single sequence item.

The item parameterization of the sequencer on which the uvm_simple_sequence is executed defines the actual type of the item executed.

The uvm_simple_sequence class is a built-in sequence that is preloaded into every sequencer's sequence library with the name "uvm_simple_sequence".

See uvm_sequencer #(REQ,RSP) for more information on running sequences.

Constructors

function new ( string name ) [source]

new

Functions

virtual function uvm_object create ( string name ) [source]

virtual function string get_type_name ( ) [source]

Tasks

virtual function body ( ) [source]

body