[source]

Class uvm_subscriber

uvm_pkg::uvm_subscriber <T> + analysis_export : uvm_analysis_imp #(T, uvm_subscriber) + write(): void

Inheritance Diagram of uvm_subscriber

This class provides an analysis export for receiving transactions from a connected analysis export. Making such a connection "subscribes" this component to any transactions emitted by the connected analysis port.

Subtypes of this class must define the write method to process the incoming transactions. This class is particularly useful when designing a coverage collector that attaches to a monitor.

Parameters

Name

Default value

Description

T

int

Variables

Name

Type

Description

analysis_export

uvm_analysis_imp#(int, uvm_subscriber#(int))

This export provides access to the write method, which derived subscribers must implement.

Typedefs

Name

Actual Type

Description

this_type

uvm_subscriber#(T)

Constructors

function new ( string name, uvm_component parent ) [source]

Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.

Functions

virtual function void write ( int t ) [source]

A pure virtual method that must be defined in each subclass. Access to this method by outside components should be done via the analysis_export.