[source]

Class uvm_analysis_port

uvm_pkg::uvm_analysis_port <T> + get_type_name(): string + write(): void

Inheritance Diagram of uvm_analysis_port

Broadcasts a value to all subscribers implementing a uvm_analysis_imp.

class mon extends uvm_component;
  uvm_analysis_port#(trans) ap;

  function new(string name = &quot;sb&quot;, uvm_component parent = null);
     super.new(name, parent);
     ap = new(&quot;ap&quot;, this);
  endfunction

  task run_phase(uvm_phase phase);
      trans t;
      ...
      ap.write(t);
      ...
  endfunction
endclass
Parameters

Name

Default value

Description

T

int

Constructors

function new ( string name, uvm_component parent ) [source]

Functions

virtual function string get_type_name ( ) [source]

virtual function void write ( int t ) [source]

Send specified value to all connected interface