[source]

Class uvm_visitor_adapter

uvm_pkg::uvm_visitor_adapter <STRUCTURE, VISITOR> + accept(): void uvm_pkg::uvm_top_down_visitor_adapter <STRUCTURE, VISITOR> uvm_pkg::uvm_bottom_up_visitor_adapter <STRUCTURE, VISITOR> uvm_pkg::uvm_by_level_visitor_adapter <STRUCTURE, VISITOR> <STRUCTURE : STRUCTURE, VISITOR : VISITOR> <STRUCTURE : STRUCTURE, VISITOR : VISITOR> <STRUCTURE : STRUCTURE, VISITOR : VISITOR>

Inheritance Diagram of uvm_visitor_adapter

CLASS

uvm_visitor_adapter #(STRUCTURE,uvm_visitor#(STRUCTURE))

The visitor adaptor traverses all nodes of the STRUCTURE and will invoke visitor.visit() on every node.

Parameters

Name

Default value

Description

STRUCTURE

uvm_component

VISITOR

uvm_visitor

Constructors

function new ( string name ) [source]

Functions

virtual function void accept ( uvm_component s, uvm_visitor#(uvm_component) v, uvm_structure_proxy#(uvm_component) p, bit invoke_begin_end ) [source]

Function

accept()

Calling this function will traverse through s (and every subnode of s ). For each node found v .visit(node) will be invoked. The children of s are recursively determined by invoking p .get_immediate_children(). invoke_begin_end determines whether the visitors begin/end functions should be invoked prior to traversal.