[source]

Class uvm_event_callback

uvm_pkg::uvm_event_callback <T> + create(): uvm_object + post_trigger(): void + pre_trigger(): bit

Inheritance Diagram of uvm_event_callback

The uvm_event_callback class is an abstract class that is used to create callback objects which may be attached to uvm_event#(T)s. To use, you derive a new class and override any or both pre_trigger and post_trigger.

Callbacks are an alternative to using processes that wait on events. When a callback is attached to an event, that callback object's callback function is called each time the event is triggered.

Parameters

Name

Default value

Description

T

uvm_object

Constructors

function new ( string name ) [source]

Creates a new callback object.

Functions

virtual function bit pre_trigger ( uvm_event#(uvm_object) e, uvm_object data ) [source]

This callback is called just before triggering the associated event. In a derived class, override this method to implement any pre-trigger functionality.

If your callback returns 1, then the event will not trigger and the post-trigger callback is not called. This provides a way for a callback to prevent the event from triggering.

In the function, e is the uvm_event#(T) that is being triggered, and data is the optional data associated with the event trigger.

virtual function void post_trigger ( uvm_event#(uvm_object) e, uvm_object data ) [source]

This callback is called after triggering the associated event. In a derived class, override this method to implement any post-trigger functionality.

In the function, e is the uvm_event#(T) that is being triggered, and data is the optional data associated with the event trigger.

virtual function uvm_object create ( string name ) [source]