[source]

Class uvm_tlm_analysis_fifo

uvm_pkg::uvm_tlm_analysis_fifo <T> + analysis_export : uvm_analysis_imp #(T, uvm_tlm_analysis_fifo) + type_name : string + get_type_name(): string + write(): void

Inheritance Diagram of uvm_tlm_analysis_fifo

Class

uvm_tlm_analysis_fifo#(T)

An analysis_fifo is a uvm_tlm_fifo#(T) with an unbounded size and a write interface. It can be used any place a uvm_analysis_imp is used. Typical usage is as a buffer between a uvm_analysis_port in an initiator component and TLM1 target component.

Parameters

Name

Default value

Description

T

int

Variables

Name

Type

Description

analysis_export

uvm_analysis_imp#(int, uvm_tlm_analysis_fifo#(int))

Port

analysis_export #(T)

The analysis_export provides the write method to all connected analysis ports and parent exports:

function void write (T t)

Access via ports bound to this export is the normal mechanism for writing to an analysis FIFO. See write method of uvm_tlm_if_base #(T1,T2) for more information.

type_name

string

Constructors

function new ( string name, uvm_component parent ) [source]

This is the standard uvm_component constructor. name is the local name of this component. The parent should be left unspecified when this component is instantiated in statically elaborated constructs and must be specified when this component is a child of another UVM component.

Functions

virtual function string get_type_name ( ) [source]

function void write ( int t ) [source]