[source]

Class uvm_built_in_pair

uvm_pkg::uvm_built_in_pair <T1, T2> + first : T1 + second : T2 + type_name : string + __m_uvm_field_automation(): void + convert2string(): string + create(): uvm_object + do_compare(): bit + do_copy(): void + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string

Inheritance Diagram of uvm_built_in_pair

CLASS

uvm_built_in_pair #(T1,T2)

Container holding two variables of built-in types (int, string, etc.). The types are specified by the type parameters, T1 and T2.

Parameters

Name

Default value

Description

T1

int

T2

T1

Variables

Name

Type

Description

type_name

string

first

int

Variable

T1 first

The first value in the pair

second

int

Variable

T2 second

The second value in the pair

Typedefs

Name

Actual Type

Description

this_type

uvm_built_in_pair#(T1, T2)

Constructors

function new ( string name ) [source]

Creates an instance that holds two built-in type values. The optional name argument gives a name to the new pair object.

Functions

virtual function string get_type_name ( ) [source]

virtual function string convert2string ( ) [source]

virtual function bit do_compare ( uvm_object rhs, uvm_comparer comparer ) [source]

virtual function void do_copy ( uvm_object rhs ) [source]