[source]

Class uvm_class_clone

uvm_pkg::uvm_class_clone <T> + clone(): uvm_object

Inheritance Diagram of uvm_class_clone

CLASS

uvm_class_clone #(T)

This policy class is used to clone class objects.

Provides a clone method that returns a copy of the built-in type, T. The class T must implement the clone method, to which this class delegates the operation. If T is derived from uvm_object, then T must instead implement uvm_object::do_copy, either directly or indirectly through use of the uvm_field macros.

Parameters

Name

Default value

Description

T

int

Functions

static function uvm_object clone ( int from ) [source]