[source]

Class uvm_test_done_objection

uvm_pkg::uvm_test_done_objection + stop_timeout : time + all_dropped() + create(): uvm_object + drop_objection(): void + force_stop() + get(): uvm_test_done_objection + get_type(): type_id + get_type_name(): string + m_do_stop_all() + m_stop_request() + qualify(): void + raise_objection(): void + stop_request(): void

Inheritance Diagram of uvm_test_done_objection

Class- uvm_test_done_objection DEPRECATED

Provides built-in end-of-test coordination

Variables

Name

Type

Description

stop_timeout

time

Variable- stop_timeout DEPRECATED

These set watchdog timers for task-based phases and stop tasks. You cannot disable the timeouts. When set to 0, a timeout of the maximum time possible is applied. A timeout at this value usually indicates a problem with your testbench. You should lower the timeout to prevent "never-ending" simulations.

Typedefs

Name

Actual Type

Description

type_id

uvm_object_registry#(uvm_test_done_objection, “uvm_test_done”)

Below are basic data operations needed for all uvm_objects for factory registration, printing, comparing, etc.

Constructors

function new ( string name ) [source]

Function- new DEPRECATED

Creates the singleton test_done objection. Users must not call this method directly.

Functions

virtual function void qualify ( uvm_object obj, bit is_raise, string description ) [source]

Function- qualify DEPRECATED

Checks that the given object is derived from either uvm_component or uvm_sequence_base.

function void stop_request ( ) [source]

Function- stop_request DEPRECATED

Calling this function triggers the process of shutting down the currently running task-based phase. This process involves calling all components' stop tasks for those components whose enable_stop_interrupt bit is set. Once all stop tasks return, or once the optional global_stop_timeout expires, all components' kill method is called, effectively ending the current phase. The uvm_top will then begin execution of the next phase, if any.

virtual function void raise_objection ( uvm_object obj, string description, int count ) [source]

Function- raise_objection DEPRECATED

Calls uvm_objection::raise_objection after calling qualify. If the object is not provided or is null , then the implicit top-level component, uvm_top , is chosen.

virtual function void drop_objection ( uvm_object obj, string description, int count ) [source]

Function- drop_objection DEPRECATED

Calls uvm_objection::drop_objection after calling qualify. If the object is not provided or is null , then the implicit top-level component, uvm_top , is chosen.

static function type_id get_type ( ) [source]

virtual function uvm_object create ( string name ) [source]

virtual function string get_type_name ( ) [source]

static function uvm_test_done_objection get ( ) [source]

Tasks

virtual function all_dropped ( uvm_object obj, uvm_object source_obj, string description, int count ) [source]

Task- all_dropped DEPRECATED

This callback is called when the given object's objection count reaches zero; if the object is the implicit top-level, uvm_root then it means there are no more objections raised for the uvm_test_done objection. Thus, after calling uvm_objection::all_dropped, this method will call global_stop_request to stop the current task-based phase (e.g. run).

virtual function force_stop ( uvm_object obj ) [source]

Task- force_stop DEPRECATED

Forces the propagation of the all_dropped() callback, even if there are still outstanding objections. The net effect of this action is to forcibly end the current phase.