[source]

Class uvm_object_string_pool

uvm_pkg::uvm_object_string_pool <T> + type_name : string + delete(): void + do_print(): void + get(): T + get_global(): T + get_global_pool(): this_type + get_type_name(): string

Inheritance Diagram of uvm_object_string_pool

CLASS

uvm_object_string_pool #(T)

This provides a specialization of the generic uvm_pool #(KEY,T) class for an associative array of uvm_object-based objects indexed by string. Specializations of this class include the uvm_event_pool (a uvm_object_string_pool storing uvm_event#(uvm_object) ) and uvm_barrier_pool (a uvm_obejct_string_pool storing uvm_barrier).

Parameters

Name

Default value

Description

T

uvm_object

Variables

Name

Type

Description

type_name

string

Typedefs

Name

Actual Type

Description

this_type

uvm_object_string_pool#(T)

Constructors

function new ( string name ) [source]

Creates a new pool with the given name .

Functions

virtual function string get_type_name ( ) [source]

Returns the type name of this object.

static function this_type get_global_pool ( ) [source]

Returns the singleton global pool for the item type, T.

This allows items to be shared amongst components throughout the verification environment.

static function T get_global ( string key ) [source]

Returns the specified item instance from the global item pool.

virtual function T get ( string key ) [source]

Returns the object item at the given string key .

If no item exists by the given key , a new item is created for that key and returned.

virtual function void delete ( string key ) [source]

Removes the item with the given string key from the pool.

virtual function void do_print ( uvm_printer printer ) [source]

Function- do_print