[source]

Class uvm_reg_bit_bash_seq

uvm_pkg::uvm_reg_bit_bash_seq + type_name : string + __m_uvm_field_automation(): void + body() + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string + reset_blk()

Inheritance Diagram of uvm_reg_bit_bash_seq

Verify the implementation of all registers in a block by executing the uvm_reg_single_bit_bash_seq sequence on it.

If bit-type resource named "NO_REG_TESTS" or "NO_REG_BIT_BASH_TEST" in the "REG::" namespace matches the full name of the block, the block is not tested.

uvm_resource_db#(bit)::set({"REG::",regmodel.blk.get_full_name(),".*"},
                           "NO_REG_TESTS", 1, this);

Constructors

function new ( string name ) [source]

Tasks

virtual function body ( ) [source]

Executes the Register Bit Bash sequence. Do not call directly. Use seq.start() instead.

virtual function reset_blk ( uvm_reg_block blk ) [source]

Reset the DUT that corresponds to the specified block abstraction class.

Currently empty. Will rollback the environment's phase to the reset phase once the new phasing is available.

In the meantime, the DUT should be reset before executing this test sequence or this method should be implemented in an extension to reset the DUT.