[source]

Class uvm_default_factory

uvm_pkg::uvm_default_factory + check_inst_override_exists(): bit + create_component_by_name(): uvm_component + create_component_by_type(): uvm_component + create_object_by_name(): uvm_object + create_object_by_type(): uvm_object + debug_create_by_name(): void + debug_create_by_type(): void + find_override_by_name(): uvm_object_wrapper + find_override_by_type(): uvm_object_wrapper + find_wrapper_by_name(): uvm_object_wrapper + m_has_wildcard(): bit + print(): void + register(): void + set_inst_override_by_name(): void + set_inst_override_by_type(): void + set_type_override_by_name(): void + set_type_override_by_type(): void

Inheritance Diagram of uvm_default_factory

Default implementation of the UVM factory.

Functions

virtual function void register ( uvm_object_wrapper obj ) [source]

Registers the given proxy object, obj , with the factory. Register

virtual function void set_inst_override_by_type ( uvm_object_wrapper original_type, uvm_object_wrapper override_type, string full_inst_path ) [source]

Function

set_inst_override_by_type. Set_inst_override_by_type

virtual function void set_inst_override_by_name ( string original_type_name, string override_type_name, string full_inst_path ) [source]

Configures the factory to create an object of the override's type whenever a request is made to create an object of the original type using a context that matches full_inst_path . Set_inst_override_by_name

virtual function void set_type_override_by_type ( uvm_object_wrapper original_type, uvm_object_wrapper override_type, bit replace ) [source]

Function

set_type_override_by_type. Set_type_override_by_type

virtual function void set_type_override_by_name ( string original_type_name, string override_type_name, bit replace ) [source]

Configures the factory to create an object of the override's type whenever a request is made to create an object of the original type, provided no instance override applies. Set_type_override_by_name

virtual function uvm_object create_object_by_type ( uvm_object_wrapper requested_type, string parent_inst_path, string name ) [source]

Function

create_object_by_type. Create_object_by_type

virtual function uvm_component create_component_by_type ( uvm_object_wrapper requested_type, string parent_inst_path, string name, uvm_component parent ) [source]

Function

create_component_by_type. Create_component_by_type

virtual function uvm_object create_object_by_name ( string requested_type_name, string parent_inst_path, string name ) [source]

Function

create_object_by_name. Create_object_by_name

virtual function uvm_component create_component_by_name ( string requested_type_name, string parent_inst_path, string name, uvm_component parent ) [source]

Creates and returns a component or object of the requested type, which may be specified by type or by name. Create_component_by_name

virtual function void debug_create_by_type ( uvm_object_wrapper requested_type, string parent_inst_path, string name ) [source]

Function

debug_create_by_type. Debug_create_by_type

virtual function void debug_create_by_name ( string requested_type_name, string parent_inst_path, string name ) [source]

These methods perform the same search algorithm as the create_* methods, but they do not create new objects. Debug_create_by_name

virtual function uvm_object_wrapper find_override_by_type ( uvm_object_wrapper requested_type, string full_inst_path ) [source]

Function

find_override_by_type. Find_override_by_type

virtual function uvm_object_wrapper find_override_by_name ( string requested_type_name, string full_inst_path ) [source]

These methods return the proxy to the object that would be created given the arguments. Find_override_by_name

virtual function uvm_object_wrapper find_wrapper_by_name ( string type_name ) [source]

Find_wrapper_by_name

virtual function void print ( int all_types ) [source]

Prints the state of the uvm_factory, including registered types, instance overrides, and type overrides. Print

function bit check_inst_override_exists ( uvm_object_wrapper original_type, uvm_object_wrapper override_type, string full_inst_path ) [source]

Check_inst_override_exists