[source]

Class uvm_vreg_cbs

uvm_pkg::uvm_vreg_cbs + fname : string + lineno : int + post_read() + post_write() + pre_read() + pre_write()

Inheritance Diagram of uvm_vreg_cbs

Pre/post read/write callback facade class

Variables

Name

Type

Description

fname

string

lineno

int

Constructors

function new ( string name ) [source]

Tasks

virtual function pre_write ( uvm_vreg rg, longint unsigned idx, uvm_reg_data_t wdat, uvm_path_e path, uvm_reg_map map ) [source]

Callback called before a write operation.

The registered callback methods are invoked after the invocation of the uvm_vreg::pre_write() method. All virtual register callbacks are executed after the corresponding virtual field callbacks The pre-write virtual register and field callbacks are executed before the corresponding pre-write memory callbacks

The written value wdat , access path and address map , if modified, modifies the actual value, access path or address map used in the virtual register operation.

virtual function post_write ( uvm_vreg rg, longint unsigned idx, uvm_reg_data_t wdat, uvm_path_e path, uvm_reg_map map, uvm_status_e status ) [source]

Called after register write.

The registered callback methods are invoked before the invocation of the uvm_reg::post_write() method. All register callbacks are executed before the corresponding virtual field callbacks The post-write virtual register and field callbacks are executed after the corresponding post-write memory callbacks

The status of the operation, if modified, modifies the actual returned status.

virtual function pre_read ( uvm_vreg rg, longint unsigned idx, uvm_path_e path, uvm_reg_map map ) [source]

Called before register read.

The registered callback methods are invoked after the invocation of the uvm_reg::pre_read() method. All register callbacks are executed after the corresponding virtual field callbacks The pre-read virtual register and field callbacks are executed before the corresponding pre-read memory callbacks

The access path and address map , if modified, modifies the actual access path or address map used in the register operation.

virtual function post_read ( uvm_vreg rg, longint unsigned idx, uvm_reg_data_t rdat, uvm_path_e path, uvm_reg_map map, uvm_status_e status ) [source]

Called after register read.

The registered callback methods are invoked before the invocation of the uvm_reg::post_read() method. All register callbacks are executed before the corresponding virtual field callbacks The post-read virtual register and field callbacks are executed after the corresponding post-read memory callbacks

The readback value rdat and the status of the operation, if modified, modifies the actual returned readback value and status.