[source]

Class uvm_analysis_imp

uvm_pkg::uvm_analysis_imp <T, IMP> + get_type_name(): string + write(): void

Inheritance Diagram of uvm_analysis_imp

Receives all transactions broadcasted by a uvm_analysis_port. It serves as the termination point of an analysis port/export/imp connection. The component attached to the imp class--called a subscriber -- implements the analysis interface.

Will invoke the write(T) method in the parent component. The implementation of the write(T) method must not modify the value passed to it.

class sb extends uvm_component;
  uvm_analysis_imp#(trans, sb) ap;

  function new(string name = &quot;sb&quot;, uvm_component parent = null);
     super.new(name, parent);
     ap = new(&quot;ap&quot;, this);
  endfunction

  function void write(trans t);
      ...
  endfunction
endclass
Parameters

Name

Default value

Description

T

int

IMP

int

Constructors

function new ( string name, int imp ) [source]

Functions

virtual function void write ( int t ) [source]