[source]

Class uvm_reg_map_info

uvm_pkg::uvm_reg_map_info + addr[] : uvm_reg_addr_t + frontdoor : uvm_reg_frontdoor + is_initialized : bit + mem_range : uvm_reg_map_addr_range + offset : uvm_reg_addr_t + rights : string + unmapped : bit

Inheritance Diagram of uvm_reg_map_info

Copyright 2004-2011 Synopsys, Inc.
Copyright 2010-2011 Mentor Graphics Corporation
Copyright 2010-2011 Cadence Design Systems, Inc.
All Rights Reserved Worldwide


Licensed under the Apache License, Version 2.0 (the
"License"); you may not use this file except in
compliance with the License.  You may obtain a copy of
the License at


    http://www.apache.org/licenses/LICENSE-2.0


Unless required by applicable law or agreed to in
writing, software distributed under the License is
distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
CONDITIONS OF ANY KIND, either express or implied.  See
the License for the specific language governing
permissions and limitations under the License.
Variables

Name

Type

Description

offset

uvm_reg_addr_t

rights

string

unmapped

bit

addr

uvm_reg_addr_t

frontdoor

uvm_reg_frontdoor

mem_range

uvm_reg_map_addr_range

is_initialized

bit

if set marks the uvm_reg_map_info as initialized, prevents using an uninitialized map (for instance if the model has not been locked accidently and the maps have not been computed before)