[source]

Class uvm_vreg_field_cbs

uvm_pkg::uvm_vreg_field_cbs + fname : string + lineno : int + post_read() + post_write() + pre_read() + pre_write()

Inheritance Diagram of uvm_vreg_field_cbs

Pre/post read/write callback facade class

Variables

Name

Type

Description

fname

string

lineno

int

Constructors

function new ( string name ) [source]

Tasks

virtual function pre_write ( uvm_vreg_field field, longint unsigned idx, uvm_reg_data_t wdat, uvm_path_e path, uvm_reg_map map ) [source]

Callback called before a write operation.

The registered callback methods are invoked before the invocation of the virtual register pre-write callbacks and after the invocation of the uvm_vreg_field::pre_write() method.

The written value wdat , access path and address map , if modified, modifies the actual value, access path or address map used in the register operation.

virtual function post_write ( uvm_vreg_field field, longint unsigned idx, uvm_reg_data_t wdat, uvm_path_e path, uvm_reg_map map, uvm_status_e status ) [source]

Called after a write operation

The registered callback methods are invoked after the invocation of the virtual register post-write callbacks and before the invocation of the uvm_vreg_field::post_write() method.

The status of the operation, if modified, modifies the actual returned status.

virtual function pre_read ( uvm_vreg_field field, longint unsigned idx, uvm_path_e path, uvm_reg_map map ) [source]

Called before a virtual field read.

The registered callback methods are invoked after the invocation of the virtual register pre-read callbacks and after the invocation of the uvm_vreg_field::pre_read() method.

The access path and address map , if modified, modifies the actual access path or address map used in the register operation.

virtual function post_read ( uvm_vreg_field field, longint unsigned idx, uvm_reg_data_t rdat, uvm_path_e path, uvm_reg_map map, uvm_status_e status ) [source]

Called after a virtual field read.

The registered callback methods are invoked after the invocation of the virtual register post-read callbacks and before the invocation of the uvm_vreg_field::post_read() method.

The readback value rdat and the status of the operation, if modified, modifies the actual returned readback value and status.