[source]

Class uvm_reg_single_bit_bash_seq

uvm_pkg::uvm_reg_single_bit_bash_seq + rg : uvm_reg + type_name : string + __m_uvm_field_automation(): void + bash_kth_bit() + body() + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string

Inheritance Diagram of uvm_reg_single_bit_bash_seq

Verify the implementation of a single register by attempting to write 1's and 0's to every bit in it, via every address map in which the register is mapped, making sure that the resulting value matches the mirrored value.

If bit-type resource named "NO_REG_TESTS" or "NO_REG_BIT_BASH_TEST" in the "REG::" namespace matches the full name of the register, the register is not tested.

uvm_resource_db#(bit)::set({"REG::",regmodel.blk.r0.get_full_name()},
                           "NO_REG_TESTS", 1, this);

Registers that contain fields with unknown access policies cannot be tested.

The DUT should be idle and not modify any register during this test.

Variables

Name

Type

Description

rg

uvm_reg

The register to be tested

Constructors

function new ( string name ) [source]

Tasks

virtual function body ( ) [source]

function bash_kth_bit ( uvm_reg rg, int k, string mode, uvm_reg_map map, uvm_reg_data_t dc_mask ) [source]