[source]

Class uvm_sequence_library_cfg

uvm_pkg::uvm_sequence_library_cfg + max_random_count : int unsigned + min_random_count : int unsigned + selection_mode : uvm_sequence_lib_mode + type_name : string + __m_uvm_field_automation(): void + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string

Inheritance Diagram of uvm_sequence_library_cfg

A convenient container class for configuring all the sequence library parameters using a single set command.

uvm_sequence_library_cfg cfg;
cfg = new("seqlib_cfg", UVM_SEQ_LIB_RANDC, 1000, 2000);

uvm_config_db #(uvm_sequence_library_cfg)::set(null,
                                   "env.agent.sequencer.main_ph",
                                   "default_sequence.config",
                                   cfg);
Variables

Name

Type

Description

selection_mode

uvm_sequence_lib_mode

min_random_count

int unsigned

max_random_count

int unsigned

Constructors

function new ( string name, uvm_sequence_lib_mode mode, int unsigned min, int unsigned max ) [source]