[source]

Class uvm_reg_mem_hdl_paths_seq

uvm_pkg::uvm_reg_mem_hdl_paths_seq + abstractions[$] : string + type_name : string + __m_uvm_field_automation(): void + body() + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string + reset_blk()

Inheritance Diagram of uvm_reg_mem_hdl_paths_seq

Verify the correctness of HDL paths specified for registers and memories.

This sequence is be used to check that the specified backdoor paths are indeed accessible by the simulator. By default, the check is performed for the default design abstraction. If the simulation contains multiple models of the DUT, HDL paths for multiple design abstractions can be checked.

If a path is not accessible by the simulator, it cannot be used for read/write backdoor accesses. In that case a warning is produced. A simulator may have finer-grained access permissions such as separate read or write permissions. These extra access permissions are NOT checked.

The test is performed in zero time and does not require any reads/writes to/from the DUT.

Variables

Name

Type

Description

abstractions

string

If set, check the HDL paths for the specified design abstractions. If empty, check the HDL path for the default design abstraction, as specified with uvm_reg_block::set_default_hdl_path()

Constructors

function new ( string name ) [source]

Tasks

virtual function body ( ) [source]

virtual function reset_blk ( uvm_reg_block blk ) [source]

Any additional steps required to reset the block and make it accessible