[source]

Class uvm_predict_s

uvm_pkg::uvm_predict_s + addr[uvm_reg_addr_t] : bit + reg_item : uvm_reg_item

Inheritance Diagram of uvm_predict_s

TITLE

Explicit Register Predictor

The uvm_reg_predictor class defines a predictor component, which is used to update the register model's mirror values based on transactions explicitly observed on a physical bus.

Variables

Name

Type

Description

addr

bit

reg_item

uvm_reg_item