[source]

Class uvm_seq_item_pull_export

uvm_pkg::uvm_seq_item_pull_export <REQ, RSP> + disable_auto_item_recording(): void + get() + get_next_item() + get_type_name(): string + has_do_available(): bit + is_auto_item_recording_enabled(): bit + item_done(): void + peek() + put() + put_response(): void + try_next_item() + wait_for_sequences()

Inheritance Diagram of uvm_seq_item_pull_export

Class

uvm_seq_item_pull_export #(REQ,RSP)

This export type is used in sequencer-driver communication. It has the standard constructor for exports.

Parameters

Name

Default value

Description

REQ

int

RSP

REQ

Constructors

function new ( string name, uvm_component parent, int min_size, int max_size ) [source]