[source]

Class uvm_bottomup_phase

uvm_pkg::uvm_bottomup_phase + execute(): void + traverse(): void uvm_pkg::uvm_connect_phase uvm_pkg::uvm_end_of_elaboration_phase uvm_pkg::uvm_start_of_simulation_phase uvm_pkg::uvm_extract_phase uvm_pkg::uvm_check_phase uvm_pkg::uvm_report_phase

Inheritance Diagram of uvm_bottomup_phase

Virtual base class for function phases that operate bottom-up. The pure virtual function execute() is called for each component. This is the default traversal so is included only for naming.

A bottom-up function phase completes when the execute() method has been called and returned on all applicable components in the hierarchy.

Constructors

function new ( string name ) [source]

Create a new instance of a bottom-up phase.

Functions

virtual function void traverse ( uvm_component comp, uvm_phase phase, uvm_phase_state state ) [source]

Traverses the component tree in bottom-up order, calling execute for each component.

virtual function void execute ( uvm_component comp, uvm_phase phase ) [source]

Executes the bottom-up phase phase for the component comp .