[source]

Class uvm_algorithmic_comparator

uvm_pkg::uvm_algorithmic_comparator <BEFORE, AFTER, TRANSFORMER> + after_export : uvm_analysis_export #(AFTER) + before_export : uvm_analysis_imp #(BEFORE, uvm_algorithmic_comparator) + type_name : string + connect_phase(): void + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string + write(): void

Inheritance Diagram of uvm_algorithmic_comparator

Parameters

Name

Default value

Description

BEFORE

int

AFTER

int

TRANSFORMER

int

Variables

Name

Type

Description

type_name

string

before_export

uvm_analysis_imp#(int, uvm_algorithmic_comparator#(int, int, int))

The export to which a data stream of type BEFORE is sent via a connected analysis port. Publishers (monitors) can send in an ordered stream of transactions against which the transformed BEFORE transactions will (be compared.

after_export

uvm_analysis_export#(int)

The export to which a data stream of type AFTER is sent via a connected analysis port. Publishers (monitors) can send in an ordered stream of transactions to be transformed and compared to the AFTER transactions.

Typedefs

Name

Actual Type

Description

this_type

uvm_algorithmic_comparator#(BEFORE, AFTER, TRANSFORMER)

Constructors

function new ( string name, uvm_component parent, int transformer ) [source]

Creates an instance of a specialization of this class. In addition to the standard uvm_component constructor arguments, name and parent , the constructor takes a handle to a transformer object, which must already be allocated (handles can't be null ) and must implement the transform() method.

Functions

virtual function string get_type_name ( ) [source]

virtual function void connect_phase ( uvm_phase phase ) [source]

function void write ( int b ) [source]