[source]

Class uvm_start_of_simulation_phase

uvm_pkg::uvm_start_of_simulation_phase + type_name : string + exec_func(): void + get(): uvm_start_of_simulation_phase + get_type_name(): string

Inheritance Diagram of uvm_start_of_simulation_phase

Variables

Name

Type

Description

type_name

string

Functions

virtual function void exec_func ( uvm_component comp, uvm_phase phase ) [source]

static function uvm_start_of_simulation_phase get ( ) [source]

Returns the singleton phase handle

virtual function string get_type_name ( ) [source]