[source]

Class uvm_event

uvm_pkg::uvm_event <T> + type_name : string + add_callback(): void + create(): uvm_object + delete_callback(): void + do_copy(): void + do_print(): void + get_trigger_data(): T + get_type_name(): string + trigger(): void + wait_ptrigger_data() + wait_trigger_data()

Inheritance Diagram of uvm_event

CLASS

uvm_event#(T)

The uvm_event class is an extension of the abstract uvm_event_base class.

The optional parameter T allows the user to define a data type which can be passed during an event trigger.

Parameters

Name

Default value

Description

T

uvm_object

Variables

Name

Type

Description

type_name

string

Constructors

function new ( string name ) [source]

Creates a new event object.

Functions

virtual function void trigger ( uvm_object data ) [source]

Triggers the event, resuming all waiting processes.

An optional data argument can be supplied with the enable to provide trigger-specific information.

virtual function T get_trigger_data ( ) [source]

Gets the data, if any, provided by the last call to trigger.

virtual function string get_type_name ( ) [source]

virtual function void add_callback ( uvm_event_callback#(uvm_object) cb, bit append ) [source]

Registers a callback object, cb , with this event. The callback object may include pre_trigger and post_trigger functionality. If append is set to 1, the default, cb is added to the back of the callback list. Otherwise, cb is placed at the front of the callback list.

virtual function void delete_callback ( uvm_event_callback#(uvm_object) cb ) [source]

Unregisters the given callback, cb , from this event.

virtual function void do_print ( uvm_printer printer ) [source]

virtual function void do_copy ( uvm_object rhs ) [source]

virtual function uvm_object create ( string name ) [source]

Tasks

virtual function wait_trigger_data ( uvm_object data ) [source]

This method calls uvm_event_base::wait_trigger followed by get_trigger_data.

virtual function wait_ptrigger_data ( uvm_object data ) [source]

This method calls uvm_event_base::wait_ptrigger followed by get_trigger_data.