[source]

Class uvm_report_message_element_container

uvm_pkg::uvm_report_message_element_container + type_name : string + __m_uvm_field_automation(): void + add_int(): void + add_object(): void + add_string(): void + create(): uvm_object + delete(): void + delete_elements(): void + do_copy(): void + do_print(): void + do_record(): void + get_elements(): queue_of_element + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string + size(): int

Inheritance Diagram of uvm_report_message_element_container

A container used by report message to contain the dynamically added elements, with APIs to add and delete the elements.

Typedefs

Name

Actual Type

Description

queue_of_element

uvm_report_message_element_base

Function

get_elements

Get all the elements from the container and put them in a queue

Constructors

function new ( string name ) [source]

Create a new uvm_report_message_element_container object

Functions

virtual function int size ( ) [source]

Returns the size of the container, i.e. the number of elements

virtual function void delete ( int index ) [source]

Delete the index -th element in the container

virtual function void delete_elements ( ) [source]

Delete all the elements in the container

virtual function queue_of_element get_elements ( ) [source]

virtual function void add_int ( string name, uvm_bitstream_t value, int size, uvm_radix_enum radix, uvm_action action ) [source]

This method adds an integral type of the name name and value value to the container. The required size field indicates the size of value . The required radix field determines how to display and record the field. The optional print/record bit is to specify whether the element will be printed/recorded.

virtual function void add_string ( string name, string value, uvm_action action ) [source]

This method adds a string of the name name and value value to the message. The optional print/record bit is to specify whether the element will be printed/recorded.

virtual function void add_object ( string name, uvm_object obj, uvm_action action ) [source]

This method adds a uvm_object of the name name and reference obj to the message. The optional print/record bit is to specify whether the element will be printed/recorded.

virtual function void do_print ( uvm_printer printer ) [source]

virtual function void do_record ( uvm_recorder recorder ) [source]

virtual function void do_copy ( uvm_object rhs ) [source]