[source]

Class uvm_reg_tlm_adapter

uvm_pkg::uvm_reg_tlm_adapter + type_name : string + __m_uvm_field_automation(): void + bus2reg(): void + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string + reg2bus(): uvm_sequence_item

Inheritance Diagram of uvm_reg_tlm_adapter

For converting between uvm_reg_bus_op and uvm_tlm_gp items.

Constructors

function new ( string name ) [source]

Functions

virtual function uvm_sequence_item reg2bus ( uvm_reg_bus_op rw ) [source]

Converts a uvm_reg_bus_op struct to a uvm_tlm_gp item.

virtual function void bus2reg ( uvm_sequence_item bus_item, uvm_reg_bus_op rw ) [source]

Converts a uvm_tlm_gp item to a uvm_reg_bus_op. into the provided rw transaction.