[source]

Class uvm_default_report_server

uvm_pkg::uvm_default_report_server + enable_report_id_count_summary : bit + max_quit_overridable : bit + record_all_messages : bit + show_terminator : bit + show_verbosity : bit + compose_message(): string + compose_report_message(): string + do_print(): void + execute_report_message(): void + f_display(): void + get_id_count(): int + get_id_set(): void + get_max_quit_count(): int + get_message_database(): uvm_tr_database + get_quit_count(): int + get_severity_count(): int + get_severity_set(): void + get_type_name(): string + incr_id_count(): void + incr_quit_count(): void + incr_severity_count(): void + is_quit_count_reached(): bit + process_report(): void + process_report_message(): void + report_summarize(): void + reset_quit_count(): void + reset_severity_counts(): void + set_id_count(): void + set_max_quit_count(): void + set_message_database(): void + set_quit_count(): void + set_severity_count(): void

Inheritance Diagram of uvm_default_report_server

Default implementation of the UVM report server.

Variables

Name

Type

Description

max_quit_overridable

bit

enable_report_id_count_summary

bit

A flag to enable report count summary for each ID

record_all_messages

bit

A flag to force recording of all messages (add UVM_RM_RECORD action)

show_verbosity

bit

A flag to include verbosity in the messages, e.g.

"UVM_INFO(UVM_MEDIUM) file.v(3) @ 60

reporter [ID0] Message 0"

show_terminator

bit

A flag to add a terminator in the messages, e.g.

"UVM_INFO file.v(3) @ 60

reporter [ID0] Message 0 -UVM_INFO"

Constructors

function new ( string name ) [source]

Creates an instance of the class.

Functions

virtual function string get_type_name ( ) [source]

Needed for callbacks

virtual function void do_print ( uvm_printer printer ) [source]

Print to show report server state

virtual function int get_max_quit_count ( ) [source]

virtual function void set_max_quit_count ( int count, bit overridable ) [source]

Get or set the maximum number of COUNT actions that can be tolerated before a UVM_EXIT action is taken. The default is 0, which specifies no maximum.

virtual function int get_quit_count ( ) [source]

virtual function void set_quit_count ( int quit_count ) [source]

function void incr_quit_count ( ) [source]

function void reset_quit_count ( ) [source]

Set, get, increment, or reset to 0 the quit count, i.e., the number of COUNT actions issued.

function bit is_quit_count_reached ( ) [source]

If is_quit_count_reached returns 1, then the quit counter has reached the maximum.

virtual function int get_severity_count ( uvm_severity severity ) [source]

virtual function void set_severity_count ( uvm_severity severity, int count ) [source]

function void incr_severity_count ( uvm_severity severity ) [source]

function void reset_severity_counts ( ) [source]

Set, get, or increment the counter for the given severity, or reset all severity counters to 0.

virtual function int get_id_count ( string id ) [source]

virtual function void set_id_count ( string id, int count ) [source]

function void incr_id_count ( string id ) [source]

Set, get, or increment the counter for reports with the given id.

virtual function void set_message_database ( uvm_tr_database database ) [source]

sets the uvm_tr_database used for recording messages

virtual function uvm_tr_database get_message_database ( ) [source]

returns the uvm_tr_database used for recording messages

virtual function void get_severity_set ( uvm_severity q ) [source]

virtual function void get_id_set ( string q ) [source]

function void f_display ( UVM_FILE file, string str ) [source]

Function- f_display

This method sends string severity to the command line if file is 0 and to the file(s) specified by file if it is not 0.

virtual function void process_report_message ( uvm_report_message report_message ) [source]

Function- process_report_message

virtual function void execute_report_message ( uvm_report_message report_message, string composed_message ) [source]

Processes the provided message per the actions contained within.

Expert users can overload this method to customize action processing.

virtual function string compose_report_message ( uvm_report_message report_message, string report_object_name ) [source]

Constructs the actual string sent to the file or command line from the severity, component name, report id, and the message itself.

Expert users can overload this method to customize report formatting.

virtual function void report_summarize ( UVM_FILE file ) [source]

Outputs statistical information on the reports issued by this central report server. This information will be sent to the command line if file is 0, or to the file descriptor file if it is not 0.

The run_test method in uvm_top calls this method.

virtual function void process_report ( uvm_severity severity, string name, string id, string message, uvm_action action, UVM_FILE file, string filename, int line, string composed_message, int verbosity_level, uvm_report_object client ) [source]

Function- process_report

Calls compose_message to construct the actual message to be output. It then takes the appropriate action according to the value of action and file.

This method can be overloaded by expert users to customize the way the reporting system processes reports and the actions enabled for them.

virtual function string compose_message ( uvm_severity severity, string name, string id, string message, string filename, int line ) [source]

Function- compose_message

Constructs the actual string sent to the file or command line from the severity, component name, report id, and the message itself.

Expert users can overload this method to customize report formatting.