[source]

Class uvm_tlm_extension_base

uvm_pkg::uvm_tlm_extension_base + create(): uvm_object + do_copy(): void + get_type_handle(): uvm_tlm_extension_base + get_type_handle_name(): string uvm_pkg::uvm_tlm_extension <T>

Inheritance Diagram of uvm_tlm_extension_base

The class uvm_tlm_extension_base is the non-parameterized base class for all generic payload extensions. It includes the utility do_copy() and create(). The pure virtual function get_type_handle() allows you to get a unique handle that represents the derived type. This is implemented in derived classes.

This class is never used directly by users. The uvm_tlm_extension class is used instead.

Constructors

function new ( string name ) [source]

Functions

virtual function uvm_tlm_extension_base get_type_handle ( ) [source]

An interface to polymorphically retrieve a handle that uniquely identifies the type of the sub-class

virtual function string get_type_handle_name ( ) [source]

An interface to polymorphically retrieve the name that uniquely identifies the type of the sub-class

virtual function void do_copy ( uvm_object rhs ) [source]

virtual function uvm_object create ( string name ) [source]