[source]

Class uvm_factory_queue_class

uvm_pkg::uvm_factory_queue_class + queue[$] : uvm_factory_override

Inheritance Diagram of uvm_factory_queue_class

Instance overrides by requested type lookup

Variables

Name

Type

Description

queue

uvm_factory_override