[source]

Class uvm_reg_write_only_cbs

uvm_pkg::uvm_reg_write_only_cbs + type_name : string + __m_uvm_field_automation(): void + add(): void + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string + pre_read() + remove(): void

Inheritance Diagram of uvm_reg_write_only_cbs

Pre-defined register callback method for write-only registers that will issue an error if a read() operation is attempted.

Constructors

function new ( string name ) [source]

Functions

static function void add ( uvm_reg rg ) [source]

Add this callback to the specified register and its contained fields.

static function void remove ( uvm_reg rg ) [source]

Remove this callback from the specified register and its contained fields.

Tasks

virtual function pre_read ( uvm_reg_item rw ) [source]

Produces an error message and sets status to <UVM_NOT_OK>.