[source]

Class uvm_printer_knobs

uvm_pkg::uvm_printer_knobs + begin_elements : int + bin_radix : string + dec_radix : string + default_radix : uvm_radix_enum + depth : int + end_elements : int + footer : bit + full_name : bit + header : bit + hex_radix : string + identifier : bit + indent : int + max_width : int + mcd : int + name_width : int + oct_radix : string + prefix : string + reference : bit + separator : string + show_radix : bit + show_root : bit + size : bit + size_width : int + sprint : bit + truncation : string + type_name : bit + type_width : int + unsigned_radix : string + value_width : int + get_radix_str(): string

Inheritance Diagram of uvm_printer_knobs

The uvm_printer_knobs class defines the printer settings available to all printer subtypes.

Variables

Name

Type

Description

header

bit

Indicates whether the uvm_printer::format_header function should be called when printing an object.

footer

bit

Indicates whether the uvm_printer::format_footer function should be called when printing an object.

full_name

bit

Indicates whether uvm_printer::adjust_name should print the full name of an identifier or just the leaf name.

identifier

bit

Indicates whether uvm_printer::adjust_name should print the identifier. This is useful in cases where you just want the values of an object, but no identifiers.

type_name

bit

Controls whether to print a field's type name.

size

bit

Controls whether to print a field's size.

depth

int

Indicates how deep to recurse when printing objects. A depth of -1 means to print everything.

reference

bit

Controls whether to print a unique reference ID for object handles. The behavior of this knob is simulator-dependent.

begin_elements

int

Defines the number of elements at the head of a list to print. Use -1 for no max.

end_elements

int

This defines the number of elements at the end of a list that should be printed.

prefix

string

Specifies the string prepended to each output line

indent

int

This knob specifies the number of spaces to use for level indentation. The default level indentation is two spaces.

show_root

bit

This setting indicates whether or not the initial object that is printed (when current depth is 0) prints the full path name. By default, the first object is treated like all other objects and only the leaf name is printed.

mcd

int

This is a file descriptor, or multi-channel descriptor, that specifies where the print output should be directed.

By default, the output goes to the standard output of the simulator.

separator

string

For tree printers only, determines the opening and closing separators used for nested objects.

show_radix

bit

Indicates whether the radix string ('h, and so on) should be prepended to an integral value when one is printed.

default_radix

uvm_radix_enum

This knob sets the default radix to use for integral values when no radix enum is explicitly supplied to the uvm_printer::print_field or uvm_printer::print_field_int methods.

dec_radix

string

This string should be prepended to the value of an integral type when a radix of <UVM_DEC> is used for the radix of the integral object.

When a negative number is printed, the radix is not printed since only signed decimal values can print as negative.

bin_radix

string

This string should be prepended to the value of an integral type when a radix of <UVM_BIN> is used for the radix of the integral object.

oct_radix

string

This string should be prepended to the value of an integral type when a radix of <UVM_OCT> is used for the radix of the integral object.

unsigned_radix

string

This is the string which should be prepended to the value of an integral type when a radix of <UVM_UNSIGNED> is used for the radix of the integral object.

hex_radix

string

This string should be prepended to the value of an integral type when a radix of <UVM_HEX> is used for the radix of the integral object.

max_width

int

Deprecated knobs, hereafter ignored

truncation

string

name_width

int

type_width

int

size_width

int

value_width

int

sprint

bit

Functions

function string get_radix_str ( uvm_radix_enum radix ) [source]

Converts the radix from an enumerated to a printable radix according to the radix printing knobs (bin_radix, and so on).