[source]

Class uvm_reg_backdoor

uvm_pkg::uvm_reg_backdoor + fname : string + lineno : int + type_name : string + __m_uvm_field_automation(): void + create(): uvm_object + get_object_type(): uvm_object_wrapper + get_type(): type_id + get_type_name(): string + has_update_threads(): bit + is_auto_updated(): bit + kill_update_thread(): void + post_read() + post_write() + pre_read() + pre_write() + read() + read_func(): void + start_update_thread(): void + write()

Inheritance Diagram of uvm_reg_backdoor

Base class for user-defined back-door register and memory access.

This class can be extended by users to provide user-specific back-door access to registers and memories that are not implemented in pure SystemVerilog or that are not accessible using the default DPI backdoor mechanism.

Variables

Name

Type

Description

fname

string

lineno

int

Constructors

function new ( string name ) [source]

Create an instance of this class

Create an instance of the user-defined backdoor class for the specified register or memory

Functions

virtual function void read_func ( uvm_reg_item rw ) [source]

User-defined backdoor read operation.

Peek the current value in the HDL implementation. Returns the current value and an indication of the success of the operation. Read_func

virtual function bit is_auto_updated ( uvm_reg_field field ) [source]

Indicates if wait_for_change() method is implemented

Implement to return TRUE if and only if wait_for_change() is implemented to watch for changes in the HDL implementation of the specified field. Is_auto_updated

function void start_update_thread ( uvm_object element ) [source]

Start_update_thread

function void kill_update_thread ( uvm_object element ) [source]

Kill_update_thread

function bit has_update_threads ( ) [source]

Has_update_threadslocal

Tasks

virtual function write ( uvm_reg_item rw ) [source]

User-defined backdoor write operation.

Call do_pre_write(). Deposit the specified value in the specified register HDL implementation. Call do_post_write(). Returns an indication of the success of the operation. Write

virtual function read ( uvm_reg_item rw ) [source]

User-defined backdoor read operation.

Overload this method only if the backdoor requires the use of task.

Call do_pre_read(). Peek the current value of the specified HDL implementation. Call do_post_read(). Returns the current value and an indication of the success of the operation.

By default, calls read_func(). Read

virtual function pre_read ( uvm_reg_item rw ) [source]

Called before user-defined backdoor register read.

The registered callback methods are invoked after the invocation of this method.

virtual function post_read ( uvm_reg_item rw ) [source]

Called after user-defined backdoor register read.

The registered callback methods are invoked before the invocation of this method.

virtual function pre_write ( uvm_reg_item rw ) [source]

Called before user-defined backdoor register write.

The registered callback methods are invoked after the invocation of this method.

The written value, if modified, modifies the actual value that will be written.

virtual function post_write ( uvm_reg_item rw ) [source]

Called after user-defined backdoor register write.

The registered callback methods are invoked before the invocation of this method.